CINXE.COM

Field-programmable gate array - Wikipedia

<!DOCTYPE html> <html class="client-nojs vector-feature-language-in-header-enabled vector-feature-language-in-main-page-header-disabled vector-feature-sticky-header-disabled vector-feature-page-tools-pinned-disabled vector-feature-toc-pinned-clientpref-1 vector-feature-main-menu-pinned-disabled vector-feature-limited-width-clientpref-1 vector-feature-limited-width-content-enabled vector-feature-custom-font-size-clientpref-1 vector-feature-appearance-pinned-clientpref-1 vector-feature-night-mode-enabled skin-theme-clientpref-day vector-toc-available" lang="en" dir="ltr"> <head> <meta charset="UTF-8"> <title>Field-programmable gate array - Wikipedia</title> <script>(function(){var className="client-js vector-feature-language-in-header-enabled vector-feature-language-in-main-page-header-disabled vector-feature-sticky-header-disabled vector-feature-page-tools-pinned-disabled vector-feature-toc-pinned-clientpref-1 vector-feature-main-menu-pinned-disabled vector-feature-limited-width-clientpref-1 vector-feature-limited-width-content-enabled vector-feature-custom-font-size-clientpref-1 vector-feature-appearance-pinned-clientpref-1 vector-feature-night-mode-enabled skin-theme-clientpref-day vector-toc-available";var cookie=document.cookie.match(/(?:^|; )enwikimwclientpreferences=([^;]+)/);if(cookie){cookie[1].split('%2C').forEach(function(pref){className=className.replace(new RegExp('(^| )'+pref.replace(/-clientpref-\w+$|[^\w-]+/g,'')+'-clientpref-\\w+( |$)'),'$1'+pref+'$2');});}document.documentElement.className=className;}());RLCONF={"wgBreakFrames":false,"wgSeparatorTransformTable":["",""],"wgDigitTransformTable":["",""],"wgDefaultDateFormat":"dmy", "wgMonthNames":["","January","February","March","April","May","June","July","August","September","October","November","December"],"wgRequestId":"419da885-1cac-4c16-8948-9d46cf468e8e","wgCanonicalNamespace":"","wgCanonicalSpecialPageName":false,"wgNamespaceNumber":0,"wgPageName":"Field-programmable_gate_array","wgTitle":"Field-programmable gate array","wgCurRevisionId":1257610395,"wgRevisionId":1257610395,"wgArticleId":10969,"wgIsArticle":true,"wgIsRedirect":false,"wgAction":"view","wgUserName":null,"wgUserGroups":["*"],"wgCategories":["Webarchive template wayback links","All articles with dead external links","Articles with dead external links from February 2022","Articles with permanently dead external links","All articles lacking reliable references","Articles lacking reliable references from September 2024","Articles with short description","Short description is different from Wikidata","Use American English from April 2019","All Wikipedia articles written in American English", "All articles with failed verification","Articles with failed verification from December 2020","All articles with unsourced statements","Articles with unsourced statements from November 2022","All articles with self-published sources","Articles with self-published sources from February 2024","Wikipedia articles needing clarification from July 2024","Articles with unsourced statements from September 2024","Wikipedia articles needing clarification from December 2018","Articles containing potentially dated statements from 2018","All articles containing potentially dated statements","All articles with vague or ambiguous time","Vague or ambiguous time from October 2018","Articles containing potentially dated statements from 2017","Articles with unsourced statements from December 2023","Wikipedia articles needing clarification from January 2013","Articles with unsourced statements from December 2018","Field-programmable gate arrays","Gate arrays","Integrated circuits","Semiconductor devices" ,"OpenCL compute devices","American inventions","Hardware acceleration"],"wgPageViewLanguage":"en","wgPageContentLanguage":"en","wgPageContentModel":"wikitext","wgRelevantPageName":"Field-programmable_gate_array","wgRelevantArticleId":10969,"wgIsProbablyEditable":true,"wgRelevantPageIsProbablyEditable":true,"wgRestrictionEdit":[],"wgRestrictionMove":[],"wgRedirectedFrom":"Field-Programmable_Gate_Array","wgNoticeProject":"wikipedia","wgCiteReferencePreviewsActive":false,"wgFlaggedRevsParams":{"tags":{"status":{"levels":1}}},"wgMediaViewerOnClick":true,"wgMediaViewerEnabledByDefault":true,"wgPopupsFlags":0,"wgVisualEditor":{"pageLanguageCode":"en","pageLanguageDir":"ltr","pageVariantFallbacks":"en"},"wgMFDisplayWikibaseDescriptions":{"search":true,"watchlist":true,"tagline":false,"nearby":true},"wgWMESchemaEditAttemptStepOversample":false,"wgWMEPageLength":60000,"wgInternalRedirectTargetUrl":"/wiki/Field-programmable_gate_array","wgRelatedArticlesCompat":[],"wgCentralAuthMobileDomain": false,"wgEditSubmitButtonLabelPublish":true,"wgULSPosition":"interlanguage","wgULSisCompactLinksEnabled":false,"wgVector2022LanguageInHeader":true,"wgULSisLanguageSelectorEmpty":false,"wgWikibaseItemId":"Q190411","wgCheckUserClientHintsHeadersJsApi":["brands","architecture","bitness","fullVersionList","mobile","model","platform","platformVersion"],"GEHomepageSuggestedEditsEnableTopics":true,"wgGETopicsMatchModeEnabled":false,"wgGEStructuredTaskRejectionReasonTextInputEnabled":false,"wgGELevelingUpEnabledForUser":false};RLSTATE={"ext.globalCssJs.user.styles":"ready","site.styles":"ready","user.styles":"ready","ext.globalCssJs.user":"ready","user":"ready","user.options":"loading","ext.cite.styles":"ready","skins.vector.search.codex.styles":"ready","skins.vector.styles":"ready","skins.vector.icons":"ready","jquery.makeCollapsible.styles":"ready","ext.wikimediamessages.styles":"ready","ext.visualEditor.desktopArticleTarget.noscript":"ready","ext.uls.interlanguage":"ready", "wikibase.client.init":"ready","ext.wikimediaBadges":"ready"};RLPAGEMODULES=["mediawiki.action.view.redirect","ext.cite.ux-enhancements","mediawiki.page.media","site","mediawiki.page.ready","jquery.makeCollapsible","mediawiki.toc","skins.vector.js","ext.centralNotice.geoIP","ext.centralNotice.startUp","ext.gadget.ReferenceTooltips","ext.gadget.switcher","ext.urlShortener.toolbar","ext.centralauth.centralautologin","mmv.bootstrap","ext.popups","ext.visualEditor.desktopArticleTarget.init","ext.visualEditor.targetLoader","ext.echo.centralauth","ext.eventLogging","ext.wikimediaEvents","ext.navigationTiming","ext.uls.interface","ext.cx.eventlogging.campaigns","ext.cx.uls.quick.actions","wikibase.client.vector-2022","ext.checkUser.clientHints","ext.quicksurveys.init","ext.growthExperiments.SuggestedEditSession","wikibase.sidebar.tracking"];</script> <script>(RLQ=window.RLQ||[]).push(function(){mw.loader.impl(function(){return["user.options@12s5i",function($,jQuery,require,module){mw.user.tokens.set({"patrolToken":"+\\","watchToken":"+\\","csrfToken":"+\\"}); }];});});</script> <link rel="stylesheet" href="/w/load.php?lang=en&amp;modules=ext.cite.styles%7Cext.uls.interlanguage%7Cext.visualEditor.desktopArticleTarget.noscript%7Cext.wikimediaBadges%7Cext.wikimediamessages.styles%7Cjquery.makeCollapsible.styles%7Cskins.vector.icons%2Cstyles%7Cskins.vector.search.codex.styles%7Cwikibase.client.init&amp;only=styles&amp;skin=vector-2022"> <script async="" src="/w/load.php?lang=en&amp;modules=startup&amp;only=scripts&amp;raw=1&amp;skin=vector-2022"></script> <meta name="ResourceLoaderDynamicStyles" content=""> <link rel="stylesheet" href="/w/load.php?lang=en&amp;modules=site.styles&amp;only=styles&amp;skin=vector-2022"> <meta name="generator" content="MediaWiki 1.44.0-wmf.4"> <meta name="referrer" content="origin"> <meta name="referrer" content="origin-when-cross-origin"> <meta name="robots" content="max-image-preview:standard"> <meta name="format-detection" content="telephone=no"> <meta property="og:image" content="https://upload.wikimedia.org/wikipedia/commons/f/fa/Altera_StratixIVGX_FPGA.jpg"> <meta property="og:image:width" content="1200"> <meta property="og:image:height" content="802"> <meta property="og:image" content="https://upload.wikimedia.org/wikipedia/commons/f/fa/Altera_StratixIVGX_FPGA.jpg"> <meta property="og:image:width" content="800"> <meta property="og:image:height" content="535"> <meta property="og:image:width" content="640"> <meta property="og:image:height" content="428"> <meta name="viewport" content="width=1120"> <meta property="og:title" content="Field-programmable gate array - Wikipedia"> <meta property="og:type" content="website"> <link rel="preconnect" href="//upload.wikimedia.org"> <link rel="alternate" media="only screen and (max-width: 640px)" href="//en.m.wikipedia.org/wiki/Field-programmable_gate_array"> <link rel="alternate" type="application/x-wiki" title="Edit this page" href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit"> <link rel="apple-touch-icon" href="/static/apple-touch/wikipedia.png"> <link rel="icon" href="/static/favicon/wikipedia.ico"> <link rel="search" type="application/opensearchdescription+xml" href="/w/rest.php/v1/search" title="Wikipedia (en)"> <link rel="EditURI" type="application/rsd+xml" href="//en.wikipedia.org/w/api.php?action=rsd"> <link rel="canonical" href="https://en.wikipedia.org/wiki/Field-programmable_gate_array"> <link rel="license" href="https://creativecommons.org/licenses/by-sa/4.0/deed.en"> <link rel="alternate" type="application/atom+xml" title="Wikipedia Atom feed" href="/w/index.php?title=Special:RecentChanges&amp;feed=atom"> <link rel="dns-prefetch" href="//meta.wikimedia.org" /> <link rel="dns-prefetch" href="//login.wikimedia.org"> </head> <body class="skin--responsive skin-vector skin-vector-search-vue mediawiki ltr sitedir-ltr mw-hide-empty-elt ns-0 ns-subject mw-editable page-Field-programmable_gate_array rootpage-Field-programmable_gate_array skin-vector-2022 action-view"><a class="mw-jump-link" href="#bodyContent">Jump to content</a> <div class="vector-header-container"> <header class="vector-header mw-header"> <div class="vector-header-start"> <nav class="vector-main-menu-landmark" aria-label="Site"> <div id="vector-main-menu-dropdown" class="vector-dropdown vector-main-menu-dropdown vector-button-flush-left vector-button-flush-right" > <input type="checkbox" id="vector-main-menu-dropdown-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-main-menu-dropdown" class="vector-dropdown-checkbox " aria-label="Main menu" > <label id="vector-main-menu-dropdown-label" for="vector-main-menu-dropdown-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only " aria-hidden="true" ><span class="vector-icon mw-ui-icon-menu mw-ui-icon-wikimedia-menu"></span> <span class="vector-dropdown-label-text">Main menu</span> </label> <div class="vector-dropdown-content"> <div id="vector-main-menu-unpinned-container" class="vector-unpinned-container"> <div id="vector-main-menu" class="vector-main-menu vector-pinnable-element"> <div class="vector-pinnable-header vector-main-menu-pinnable-header vector-pinnable-header-unpinned" data-feature-name="main-menu-pinned" data-pinnable-element-id="vector-main-menu" data-pinned-container-id="vector-main-menu-pinned-container" data-unpinned-container-id="vector-main-menu-unpinned-container" > <div class="vector-pinnable-header-label">Main menu</div> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-pin-button" data-event-name="pinnable-header.vector-main-menu.pin">move to sidebar</button> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-unpin-button" data-event-name="pinnable-header.vector-main-menu.unpin">hide</button> </div> <div id="p-navigation" class="vector-menu mw-portlet mw-portlet-navigation" > <div class="vector-menu-heading"> Navigation </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="n-mainpage-description" class="mw-list-item"><a href="/wiki/Main_Page" title="Visit the main page [z]" accesskey="z"><span>Main page</span></a></li><li id="n-contents" class="mw-list-item"><a href="/wiki/Wikipedia:Contents" title="Guides to browsing Wikipedia"><span>Contents</span></a></li><li id="n-currentevents" class="mw-list-item"><a href="/wiki/Portal:Current_events" title="Articles related to current events"><span>Current events</span></a></li><li id="n-randompage" class="mw-list-item"><a href="/wiki/Special:Random" title="Visit a randomly selected article [x]" accesskey="x"><span>Random article</span></a></li><li id="n-aboutsite" class="mw-list-item"><a href="/wiki/Wikipedia:About" title="Learn about Wikipedia and how it works"><span>About Wikipedia</span></a></li><li id="n-contactpage" class="mw-list-item"><a href="//en.wikipedia.org/wiki/Wikipedia:Contact_us" title="How to contact Wikipedia"><span>Contact us</span></a></li> </ul> </div> </div> <div id="p-interaction" class="vector-menu mw-portlet mw-portlet-interaction" > <div class="vector-menu-heading"> Contribute </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="n-help" class="mw-list-item"><a href="/wiki/Help:Contents" title="Guidance on how to use and edit Wikipedia"><span>Help</span></a></li><li id="n-introduction" class="mw-list-item"><a href="/wiki/Help:Introduction" title="Learn how to edit Wikipedia"><span>Learn to edit</span></a></li><li id="n-portal" class="mw-list-item"><a href="/wiki/Wikipedia:Community_portal" title="The hub for editors"><span>Community portal</span></a></li><li id="n-recentchanges" class="mw-list-item"><a href="/wiki/Special:RecentChanges" title="A list of recent changes to Wikipedia [r]" accesskey="r"><span>Recent changes</span></a></li><li id="n-upload" class="mw-list-item"><a href="/wiki/Wikipedia:File_upload_wizard" title="Add images or other media for use on Wikipedia"><span>Upload file</span></a></li> </ul> </div> </div> </div> </div> </div> </div> </nav> <a href="/wiki/Main_Page" class="mw-logo"> <img class="mw-logo-icon" src="/static/images/icons/wikipedia.png" alt="" aria-hidden="true" height="50" width="50"> <span class="mw-logo-container skin-invert"> <img class="mw-logo-wordmark" alt="Wikipedia" src="/static/images/mobile/copyright/wikipedia-wordmark-en.svg" style="width: 7.5em; height: 1.125em;"> <img class="mw-logo-tagline" alt="The Free Encyclopedia" src="/static/images/mobile/copyright/wikipedia-tagline-en.svg" width="117" height="13" style="width: 7.3125em; height: 0.8125em;"> </span> </a> </div> <div class="vector-header-end"> <div id="p-search" role="search" class="vector-search-box-vue vector-search-box-collapses vector-search-box-show-thumbnail vector-search-box-auto-expand-width vector-search-box"> <a href="/wiki/Special:Search" class="cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only search-toggle" title="Search Wikipedia [f]" accesskey="f"><span class="vector-icon mw-ui-icon-search mw-ui-icon-wikimedia-search"></span> <span>Search</span> </a> <div class="vector-typeahead-search-container"> <div class="cdx-typeahead-search cdx-typeahead-search--show-thumbnail cdx-typeahead-search--auto-expand-width"> <form action="/w/index.php" id="searchform" class="cdx-search-input cdx-search-input--has-end-button"> <div id="simpleSearch" class="cdx-search-input__input-wrapper" data-search-loc="header-moved"> <div class="cdx-text-input cdx-text-input--has-start-icon"> <input class="cdx-text-input__input" type="search" name="search" placeholder="Search Wikipedia" aria-label="Search Wikipedia" autocapitalize="sentences" title="Search Wikipedia [f]" accesskey="f" id="searchInput" > <span class="cdx-text-input__icon cdx-text-input__start-icon"></span> </div> <input type="hidden" name="title" value="Special:Search"> </div> <button class="cdx-button cdx-search-input__end-button">Search</button> </form> </div> </div> </div> <nav class="vector-user-links vector-user-links-wide" aria-label="Personal tools"> <div class="vector-user-links-main"> <div id="p-vector-user-menu-preferences" class="vector-menu mw-portlet emptyPortlet" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> </ul> </div> </div> <div id="p-vector-user-menu-userpage" class="vector-menu mw-portlet emptyPortlet" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> </ul> </div> </div> <nav class="vector-appearance-landmark" aria-label="Appearance"> <div id="vector-appearance-dropdown" class="vector-dropdown " title="Change the appearance of the page&#039;s font size, width, and color" > <input type="checkbox" id="vector-appearance-dropdown-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-appearance-dropdown" class="vector-dropdown-checkbox " aria-label="Appearance" > <label id="vector-appearance-dropdown-label" for="vector-appearance-dropdown-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only " aria-hidden="true" ><span class="vector-icon mw-ui-icon-appearance mw-ui-icon-wikimedia-appearance"></span> <span class="vector-dropdown-label-text">Appearance</span> </label> <div class="vector-dropdown-content"> <div id="vector-appearance-unpinned-container" class="vector-unpinned-container"> </div> </div> </div> </nav> <div id="p-vector-user-menu-notifications" class="vector-menu mw-portlet emptyPortlet" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> </ul> </div> </div> <div id="p-vector-user-menu-overflow" class="vector-menu mw-portlet" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="pt-sitesupport-2" class="user-links-collapsible-item mw-list-item user-links-collapsible-item"><a data-mw="interface" href="https://donate.wikimedia.org/wiki/Special:FundraiserRedirector?utm_source=donate&amp;utm_medium=sidebar&amp;utm_campaign=C13_en.wikipedia.org&amp;uselang=en" class=""><span>Donate</span></a> </li> <li id="pt-createaccount-2" class="user-links-collapsible-item mw-list-item user-links-collapsible-item"><a data-mw="interface" href="/w/index.php?title=Special:CreateAccount&amp;returnto=Field-programmable+gate+array" title="You are encouraged to create an account and log in; however, it is not mandatory" class=""><span>Create account</span></a> </li> <li id="pt-login-2" class="user-links-collapsible-item mw-list-item user-links-collapsible-item"><a data-mw="interface" href="/w/index.php?title=Special:UserLogin&amp;returnto=Field-programmable+gate+array" title="You&#039;re encouraged to log in; however, it&#039;s not mandatory. [o]" accesskey="o" class=""><span>Log in</span></a> </li> </ul> </div> </div> </div> <div id="vector-user-links-dropdown" class="vector-dropdown vector-user-menu vector-button-flush-right vector-user-menu-logged-out" title="Log in and more options" > <input type="checkbox" id="vector-user-links-dropdown-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-user-links-dropdown" class="vector-dropdown-checkbox " aria-label="Personal tools" > <label id="vector-user-links-dropdown-label" for="vector-user-links-dropdown-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only " aria-hidden="true" ><span class="vector-icon mw-ui-icon-ellipsis mw-ui-icon-wikimedia-ellipsis"></span> <span class="vector-dropdown-label-text">Personal tools</span> </label> <div class="vector-dropdown-content"> <div id="p-personal" class="vector-menu mw-portlet mw-portlet-personal user-links-collapsible-item" title="User menu" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="pt-sitesupport" class="user-links-collapsible-item mw-list-item"><a href="https://donate.wikimedia.org/wiki/Special:FundraiserRedirector?utm_source=donate&amp;utm_medium=sidebar&amp;utm_campaign=C13_en.wikipedia.org&amp;uselang=en"><span>Donate</span></a></li><li id="pt-createaccount" class="user-links-collapsible-item mw-list-item"><a href="/w/index.php?title=Special:CreateAccount&amp;returnto=Field-programmable+gate+array" title="You are encouraged to create an account and log in; however, it is not mandatory"><span class="vector-icon mw-ui-icon-userAdd mw-ui-icon-wikimedia-userAdd"></span> <span>Create account</span></a></li><li id="pt-login" class="user-links-collapsible-item mw-list-item"><a href="/w/index.php?title=Special:UserLogin&amp;returnto=Field-programmable+gate+array" title="You&#039;re encouraged to log in; however, it&#039;s not mandatory. [o]" accesskey="o"><span class="vector-icon mw-ui-icon-logIn mw-ui-icon-wikimedia-logIn"></span> <span>Log in</span></a></li> </ul> </div> </div> <div id="p-user-menu-anon-editor" class="vector-menu mw-portlet mw-portlet-user-menu-anon-editor" > <div class="vector-menu-heading"> Pages for logged out editors <a href="/wiki/Help:Introduction" aria-label="Learn more about editing"><span>learn more</span></a> </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="pt-anoncontribs" class="mw-list-item"><a href="/wiki/Special:MyContributions" title="A list of edits made from this IP address [y]" accesskey="y"><span>Contributions</span></a></li><li id="pt-anontalk" class="mw-list-item"><a href="/wiki/Special:MyTalk" title="Discussion about edits from this IP address [n]" accesskey="n"><span>Talk</span></a></li> </ul> </div> </div> </div> </div> </nav> </div> </header> </div> <div class="mw-page-container"> <div class="mw-page-container-inner"> <div class="vector-sitenotice-container"> <div id="siteNotice"><!-- CentralNotice --></div> </div> <div class="vector-column-start"> <div class="vector-main-menu-container"> <div id="mw-navigation"> <nav id="mw-panel" class="vector-main-menu-landmark" aria-label="Site"> <div id="vector-main-menu-pinned-container" class="vector-pinned-container"> </div> </nav> </div> </div> <div class="vector-sticky-pinned-container"> <nav id="mw-panel-toc" aria-label="Contents" data-event-name="ui.sidebar-toc" class="mw-table-of-contents-container vector-toc-landmark"> <div id="vector-toc-pinned-container" class="vector-pinned-container"> <div id="vector-toc" class="vector-toc vector-pinnable-element"> <div class="vector-pinnable-header vector-toc-pinnable-header vector-pinnable-header-pinned" data-feature-name="toc-pinned" data-pinnable-element-id="vector-toc" > <h2 class="vector-pinnable-header-label">Contents</h2> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-pin-button" data-event-name="pinnable-header.vector-toc.pin">move to sidebar</button> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-unpin-button" data-event-name="pinnable-header.vector-toc.unpin">hide</button> </div> <ul class="vector-toc-contents" id="mw-panel-toc-list"> <li id="toc-mw-content-text" class="vector-toc-list-item vector-toc-level-1"> <a href="#" class="vector-toc-link"> <div class="vector-toc-text">(Top)</div> </a> </li> <li id="toc-History" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#History"> <div class="vector-toc-text"> <span class="vector-toc-numb">1</span> <span>History</span> </div> </a> <button aria-controls="toc-History-sublist" class="cdx-button cdx-button--weight-quiet cdx-button--icon-only vector-toc-toggle"> <span class="vector-icon mw-ui-icon-wikimedia-expand"></span> <span>Toggle History subsection</span> </button> <ul id="toc-History-sublist" class="vector-toc-list"> <li id="toc-Growth" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Growth"> <div class="vector-toc-text"> <span class="vector-toc-numb">1.1</span> <span>Growth</span> </div> </a> <ul id="toc-Growth-sublist" class="vector-toc-list"> <li id="toc-Gates" class="vector-toc-list-item vector-toc-level-3"> <a class="vector-toc-link" href="#Gates"> <div class="vector-toc-text"> <span class="vector-toc-numb">1.1.1</span> <span>Gates</span> </div> </a> <ul id="toc-Gates-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Market_size" class="vector-toc-list-item vector-toc-level-3"> <a class="vector-toc-link" href="#Market_size"> <div class="vector-toc-text"> <span class="vector-toc-numb">1.1.2</span> <span>Market size</span> </div> </a> <ul id="toc-Market_size-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Design_starts" class="vector-toc-list-item vector-toc-level-3"> <a class="vector-toc-link" href="#Design_starts"> <div class="vector-toc-text"> <span class="vector-toc-numb">1.1.3</span> <span>Design starts</span> </div> </a> <ul id="toc-Design_starts-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> </ul> </li> <li id="toc-Design" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#Design"> <div class="vector-toc-text"> <span class="vector-toc-numb">2</span> <span>Design</span> </div> </a> <button aria-controls="toc-Design-sublist" class="cdx-button cdx-button--weight-quiet cdx-button--icon-only vector-toc-toggle"> <span class="vector-icon mw-ui-icon-wikimedia-expand"></span> <span>Toggle Design subsection</span> </button> <ul id="toc-Design-sublist" class="vector-toc-list"> <li id="toc-Logic_blocks" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Logic_blocks"> <div class="vector-toc-text"> <span class="vector-toc-numb">2.1</span> <span>Logic blocks</span> </div> </a> <ul id="toc-Logic_blocks-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Hard_blocks" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Hard_blocks"> <div class="vector-toc-text"> <span class="vector-toc-numb">2.2</span> <span>Hard blocks</span> </div> </a> <ul id="toc-Hard_blocks-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Soft_core" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Soft_core"> <div class="vector-toc-text"> <span class="vector-toc-numb">2.3</span> <span>Soft core</span> </div> </a> <ul id="toc-Soft_core-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Integration" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Integration"> <div class="vector-toc-text"> <span class="vector-toc-numb">2.4</span> <span>Integration</span> </div> </a> <ul id="toc-Integration-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Clocking" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Clocking"> <div class="vector-toc-text"> <span class="vector-toc-numb">2.5</span> <span>Clocking</span> </div> </a> <ul id="toc-Clocking-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-3D_architectures" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#3D_architectures"> <div class="vector-toc-text"> <span class="vector-toc-numb">2.6</span> <span>3D architectures</span> </div> </a> <ul id="toc-3D_architectures-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-Programming" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#Programming"> <div class="vector-toc-text"> <span class="vector-toc-numb">3</span> <span>Programming</span> </div> </a> <ul id="toc-Programming-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Manufacturers" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#Manufacturers"> <div class="vector-toc-text"> <span class="vector-toc-numb">4</span> <span>Manufacturers</span> </div> </a> <ul id="toc-Manufacturers-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Applications" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#Applications"> <div class="vector-toc-text"> <span class="vector-toc-numb">5</span> <span>Applications</span> </div> </a> <button aria-controls="toc-Applications-sublist" class="cdx-button cdx-button--weight-quiet cdx-button--icon-only vector-toc-toggle"> <span class="vector-icon mw-ui-icon-wikimedia-expand"></span> <span>Toggle Applications subsection</span> </button> <ul id="toc-Applications-sublist" class="vector-toc-list"> <li id="toc-Usage_by_United_States_Military" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Usage_by_United_States_Military"> <div class="vector-toc-text"> <span class="vector-toc-numb">5.1</span> <span>Usage by United States Military</span> </div> </a> <ul id="toc-Usage_by_United_States_Military-sublist" class="vector-toc-list"> <li id="toc-L3Harris" class="vector-toc-list-item vector-toc-level-3"> <a class="vector-toc-link" href="#L3Harris"> <div class="vector-toc-text"> <span class="vector-toc-numb">5.1.1</span> <span>L3Harris</span> </div> </a> <ul id="toc-L3Harris-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Thales" class="vector-toc-list-item vector-toc-level-3"> <a class="vector-toc-link" href="#Thales"> <div class="vector-toc-text"> <span class="vector-toc-numb">5.1.2</span> <span>Thales</span> </div> </a> <ul id="toc-Thales-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> </ul> </li> <li id="toc-Security" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#Security"> <div class="vector-toc-text"> <span class="vector-toc-numb">6</span> <span>Security</span> </div> </a> <ul id="toc-Security-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Similar_technologies" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#Similar_technologies"> <div class="vector-toc-text"> <span class="vector-toc-numb">7</span> <span>Similar technologies</span> </div> </a> <ul id="toc-Similar_technologies-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-See_also" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#See_also"> <div class="vector-toc-text"> <span class="vector-toc-numb">8</span> <span>See also</span> </div> </a> <ul id="toc-See_also-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-References" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#References"> <div class="vector-toc-text"> <span class="vector-toc-numb">9</span> <span>References</span> </div> </a> <ul id="toc-References-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Further_reading" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#Further_reading"> <div class="vector-toc-text"> <span class="vector-toc-numb">10</span> <span>Further reading</span> </div> </a> <ul id="toc-Further_reading-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-External_links" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#External_links"> <div class="vector-toc-text"> <span class="vector-toc-numb">11</span> <span>External links</span> </div> </a> <ul id="toc-External_links-sublist" class="vector-toc-list"> </ul> </li> </ul> </div> </div> </nav> </div> </div> <div class="mw-content-container"> <main id="content" class="mw-body"> <header class="mw-body-header vector-page-titlebar"> <nav aria-label="Contents" class="vector-toc-landmark"> <div id="vector-page-titlebar-toc" class="vector-dropdown vector-page-titlebar-toc vector-button-flush-left" > <input type="checkbox" id="vector-page-titlebar-toc-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-page-titlebar-toc" class="vector-dropdown-checkbox " aria-label="Toggle the table of contents" > <label id="vector-page-titlebar-toc-label" for="vector-page-titlebar-toc-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only " aria-hidden="true" ><span class="vector-icon mw-ui-icon-listBullet mw-ui-icon-wikimedia-listBullet"></span> <span class="vector-dropdown-label-text">Toggle the table of contents</span> </label> <div class="vector-dropdown-content"> <div id="vector-page-titlebar-toc-unpinned-container" class="vector-unpinned-container"> </div> </div> </div> </nav> <h1 id="firstHeading" class="firstHeading mw-first-heading"><span class="mw-page-title-main">Field-programmable gate array</span></h1> <div id="p-lang-btn" class="vector-dropdown mw-portlet mw-portlet-lang" > <input type="checkbox" id="p-lang-btn-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-p-lang-btn" class="vector-dropdown-checkbox mw-interlanguage-selector" aria-label="Go to an article in another language. Available in 44 languages" > <label id="p-lang-btn-label" for="p-lang-btn-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--action-progressive mw-portlet-lang-heading-44" aria-hidden="true" ><span class="vector-icon mw-ui-icon-language-progressive mw-ui-icon-wikimedia-language-progressive"></span> <span class="vector-dropdown-label-text">44 languages</span> </label> <div class="vector-dropdown-content"> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li class="interlanguage-link interwiki-ar mw-list-item"><a href="https://ar.wikipedia.org/wiki/%D9%85%D8%B5%D9%81%D9%88%D9%81%D8%A9_%D8%A7%D9%84%D8%A8%D9%88%D8%A7%D8%A8%D8%A7%D8%AA_%D8%A7%D9%84%D9%85%D9%86%D8%B7%D9%82%D9%8A%D8%A9_%D8%A7%D9%84%D9%82%D8%A7%D8%A8%D9%84%D8%A9_%D9%84%D9%84%D8%A8%D8%B1%D9%85%D8%AC%D8%A9" title="مصفوفة البوابات المنطقية القابلة للبرمجة – Arabic" lang="ar" hreflang="ar" data-title="مصفوفة البوابات المنطقية القابلة للبرمجة" data-language-autonym="العربية" data-language-local-name="Arabic" class="interlanguage-link-target"><span>العربية</span></a></li><li class="interlanguage-link interwiki-bn mw-list-item"><a href="https://bn.wikipedia.org/wiki/%E0%A6%AB%E0%A6%BF%E0%A6%B2%E0%A7%8D%E0%A6%A1-%E0%A6%AA%E0%A7%8D%E0%A6%B0%E0%A7%8B%E0%A6%97%E0%A7%8D%E0%A6%B0%E0%A6%BE%E0%A6%AE%E0%A7%87%E0%A6%AC%E0%A6%B2_%E0%A6%97%E0%A7%87%E0%A6%87%E0%A6%9F_%E0%A6%85%E0%A7%8D%E0%A6%AF%E0%A6%BE%E0%A6%B0%E0%A7%87" title="ফিল্ড-প্রোগ্রামেবল গেইট অ্যারে – Bangla" lang="bn" hreflang="bn" data-title="ফিল্ড-প্রোগ্রামেবল গেইট অ্যারে" data-language-autonym="বাংলা" data-language-local-name="Bangla" class="interlanguage-link-target"><span>বাংলা</span></a></li><li class="interlanguage-link interwiki-bg mw-list-item"><a href="https://bg.wikipedia.org/wiki/FPGA" title="FPGA – Bulgarian" lang="bg" hreflang="bg" data-title="FPGA" data-language-autonym="Български" data-language-local-name="Bulgarian" class="interlanguage-link-target"><span>Български</span></a></li><li class="interlanguage-link interwiki-bar mw-list-item"><a href="https://bar.wikipedia.org/wiki/Field_Programmable_Gate_Array" title="Field Programmable Gate Array – Bavarian" lang="bar" hreflang="bar" data-title="Field Programmable Gate Array" data-language-autonym="Boarisch" data-language-local-name="Bavarian" class="interlanguage-link-target"><span>Boarisch</span></a></li><li class="interlanguage-link interwiki-ca mw-list-item"><a href="https://ca.wikipedia.org/wiki/Matriu_de_portes_programable_in_situ" title="Matriu de portes programable in situ – Catalan" lang="ca" hreflang="ca" data-title="Matriu de portes programable in situ" data-language-autonym="Català" data-language-local-name="Catalan" class="interlanguage-link-target"><span>Català</span></a></li><li class="interlanguage-link interwiki-cs mw-list-item"><a href="https://cs.wikipedia.org/wiki/Programovateln%C3%A9_hradlov%C3%A9_pole" title="Programovatelné hradlové pole – Czech" lang="cs" hreflang="cs" data-title="Programovatelné hradlové pole" data-language-autonym="Čeština" data-language-local-name="Czech" class="interlanguage-link-target"><span>Čeština</span></a></li><li class="interlanguage-link interwiki-da mw-list-item"><a href="https://da.wikipedia.org/wiki/Field-Programmable_Gate_Array" title="Field-Programmable Gate Array – Danish" lang="da" hreflang="da" data-title="Field-Programmable Gate Array" data-language-autonym="Dansk" data-language-local-name="Danish" class="interlanguage-link-target"><span>Dansk</span></a></li><li class="interlanguage-link interwiki-de mw-list-item"><a href="https://de.wikipedia.org/wiki/Field_Programmable_Gate_Array" title="Field Programmable Gate Array – German" lang="de" hreflang="de" data-title="Field Programmable Gate Array" data-language-autonym="Deutsch" data-language-local-name="German" class="interlanguage-link-target"><span>Deutsch</span></a></li><li class="interlanguage-link interwiki-et mw-list-item"><a href="https://et.wikipedia.org/wiki/FPGA" title="FPGA – Estonian" lang="et" hreflang="et" data-title="FPGA" data-language-autonym="Eesti" data-language-local-name="Estonian" class="interlanguage-link-target"><span>Eesti</span></a></li><li class="interlanguage-link interwiki-el mw-list-item"><a href="https://el.wikipedia.org/wiki/FPGA" title="FPGA – Greek" lang="el" hreflang="el" data-title="FPGA" data-language-autonym="Ελληνικά" data-language-local-name="Greek" class="interlanguage-link-target"><span>Ελληνικά</span></a></li><li class="interlanguage-link interwiki-es mw-list-item"><a href="https://es.wikipedia.org/wiki/Matriz_de_puerta_programable_en_campo" title="Matriz de puerta programable en campo – Spanish" lang="es" hreflang="es" data-title="Matriz de puerta programable en campo" data-language-autonym="Español" data-language-local-name="Spanish" class="interlanguage-link-target"><span>Español</span></a></li><li class="interlanguage-link interwiki-eo mw-list-item"><a href="https://eo.wikipedia.org/wiki/Agordebla_Matrico_de_Logikaj_Elementoj" title="Agordebla Matrico de Logikaj Elementoj – Esperanto" lang="eo" hreflang="eo" data-title="Agordebla Matrico de Logikaj Elementoj" data-language-autonym="Esperanto" data-language-local-name="Esperanto" class="interlanguage-link-target"><span>Esperanto</span></a></li><li class="interlanguage-link interwiki-eu mw-list-item"><a href="https://eu.wikipedia.org/wiki/Ate-matrize_programagarri" title="Ate-matrize programagarri – Basque" lang="eu" hreflang="eu" data-title="Ate-matrize programagarri" data-language-autonym="Euskara" data-language-local-name="Basque" class="interlanguage-link-target"><span>Euskara</span></a></li><li class="interlanguage-link interwiki-fa mw-list-item"><a href="https://fa.wikipedia.org/wiki/%D9%85%D8%AF%D8%A7%D8%B1_%D9%85%D8%AC%D8%AA%D9%85%D8%B9_%D8%AF%DB%8C%D8%AC%DB%8C%D8%AA%D8%A7%D9%84_%D8%A8%D8%B1%D9%86%D8%A7%D9%85%D9%87%E2%80%8C%D9%BE%D8%B0%DB%8C%D8%B1" title="مدار مجتمع دیجیتال برنامه‌پذیر – Persian" lang="fa" hreflang="fa" data-title="مدار مجتمع دیجیتال برنامه‌پذیر" data-language-autonym="فارسی" data-language-local-name="Persian" class="interlanguage-link-target"><span>فارسی</span></a></li><li class="interlanguage-link interwiki-fr badge-Q70894304 mw-list-item" title=""><a href="https://fr.wikipedia.org/wiki/FPGA" title="FPGA – French" lang="fr" hreflang="fr" data-title="FPGA" data-language-autonym="Français" data-language-local-name="French" class="interlanguage-link-target"><span>Français</span></a></li><li class="interlanguage-link interwiki-gl mw-list-item"><a href="https://gl.wikipedia.org/wiki/Field-programmable_gate_array" title="Field-programmable gate array – Galician" lang="gl" hreflang="gl" data-title="Field-programmable gate array" data-language-autonym="Galego" data-language-local-name="Galician" class="interlanguage-link-target"><span>Galego</span></a></li><li class="interlanguage-link interwiki-ko mw-list-item"><a href="https://ko.wikipedia.org/wiki/FPGA" title="FPGA – Korean" lang="ko" hreflang="ko" data-title="FPGA" data-language-autonym="한국어" data-language-local-name="Korean" class="interlanguage-link-target"><span>한국어</span></a></li><li class="interlanguage-link interwiki-hi mw-list-item"><a href="https://hi.wikipedia.org/wiki/%E0%A4%8F%E0%A4%AB%E0%A4%AA%E0%A5%80%E0%A4%9C%E0%A5%80%E0%A4%8F" title="एफपीजीए – Hindi" lang="hi" hreflang="hi" data-title="एफपीजीए" data-language-autonym="हिन्दी" data-language-local-name="Hindi" class="interlanguage-link-target"><span>हिन्दी</span></a></li><li class="interlanguage-link interwiki-id mw-list-item"><a href="https://id.wikipedia.org/wiki/FPGA" title="FPGA – Indonesian" lang="id" hreflang="id" data-title="FPGA" data-language-autonym="Bahasa Indonesia" data-language-local-name="Indonesian" class="interlanguage-link-target"><span>Bahasa Indonesia</span></a></li><li class="interlanguage-link interwiki-is mw-list-item"><a href="https://is.wikipedia.org/wiki/FPGA" title="FPGA – Icelandic" lang="is" hreflang="is" data-title="FPGA" data-language-autonym="Íslenska" data-language-local-name="Icelandic" class="interlanguage-link-target"><span>Íslenska</span></a></li><li class="interlanguage-link interwiki-it mw-list-item"><a href="https://it.wikipedia.org/wiki/Field_Programmable_Gate_Array" title="Field Programmable Gate Array – Italian" lang="it" hreflang="it" data-title="Field Programmable Gate Array" data-language-autonym="Italiano" data-language-local-name="Italian" class="interlanguage-link-target"><span>Italiano</span></a></li><li class="interlanguage-link interwiki-he mw-list-item"><a href="https://he.wikipedia.org/wiki/FPGA" title="FPGA – Hebrew" lang="he" hreflang="he" data-title="FPGA" data-language-autonym="עברית" data-language-local-name="Hebrew" class="interlanguage-link-target"><span>עברית</span></a></li><li class="interlanguage-link interwiki-hu mw-list-item"><a href="https://hu.wikipedia.org/wiki/Field-programmable_gate_array" title="Field-programmable gate array – Hungarian" lang="hu" hreflang="hu" data-title="Field-programmable gate array" data-language-autonym="Magyar" data-language-local-name="Hungarian" class="interlanguage-link-target"><span>Magyar</span></a></li><li class="interlanguage-link interwiki-mk mw-list-item"><a href="https://mk.wikipedia.org/wiki/FPGA" title="FPGA – Macedonian" lang="mk" hreflang="mk" data-title="FPGA" data-language-autonym="Македонски" data-language-local-name="Macedonian" class="interlanguage-link-target"><span>Македонски</span></a></li><li class="interlanguage-link interwiki-ml mw-list-item"><a href="https://ml.wikipedia.org/wiki/%E0%B4%AB%E0%B5%80%E0%B5%BD%E0%B4%A1%E0%B5%8D-%E0%B4%AA%E0%B5%8D%E0%B4%B0%E0%B5%8B%E0%B4%97%E0%B5%8D%E0%B4%B0%E0%B4%BE%E0%B4%AE%E0%B5%8D%E0%B4%AE%E0%B5%87%E0%B4%AC%E0%B4%BF%E0%B5%BE_%E0%B4%97%E0%B5%87%E0%B4%B1%E0%B5%8D%E0%B4%B1%E0%B5%8D_%E0%B4%85%E0%B4%B1%E0%B5%87" title="ഫീൽഡ്-പ്രോഗ്രാമ്മേബിൾ ഗേറ്റ് അറേ – Malayalam" lang="ml" hreflang="ml" data-title="ഫീൽഡ്-പ്രോഗ്രാമ്മേബിൾ ഗേറ്റ് അറേ" data-language-autonym="മലയാളം" data-language-local-name="Malayalam" class="interlanguage-link-target"><span>മലയാളം</span></a></li><li class="interlanguage-link interwiki-nl mw-list-item"><a href="https://nl.wikipedia.org/wiki/Field-programmable_gate_array" title="Field-programmable gate array – Dutch" lang="nl" hreflang="nl" data-title="Field-programmable gate array" data-language-autonym="Nederlands" data-language-local-name="Dutch" class="interlanguage-link-target"><span>Nederlands</span></a></li><li class="interlanguage-link interwiki-ja mw-list-item"><a href="https://ja.wikipedia.org/wiki/FPGA" title="FPGA – Japanese" lang="ja" hreflang="ja" data-title="FPGA" data-language-autonym="日本語" data-language-local-name="Japanese" class="interlanguage-link-target"><span>日本語</span></a></li><li class="interlanguage-link interwiki-no mw-list-item"><a href="https://no.wikipedia.org/wiki/FPGA" title="FPGA – Norwegian Bokmål" lang="nb" hreflang="nb" data-title="FPGA" data-language-autonym="Norsk bokmål" data-language-local-name="Norwegian Bokmål" class="interlanguage-link-target"><span>Norsk bokmål</span></a></li><li class="interlanguage-link interwiki-nn mw-list-item"><a href="https://nn.wikipedia.org/wiki/FPGA" title="FPGA – Norwegian Nynorsk" lang="nn" hreflang="nn" data-title="FPGA" data-language-autonym="Norsk nynorsk" data-language-local-name="Norwegian Nynorsk" class="interlanguage-link-target"><span>Norsk nynorsk</span></a></li><li class="interlanguage-link interwiki-pl mw-list-item"><a href="https://pl.wikipedia.org/wiki/Bezpo%C5%9Brednio_programowalna_macierz_bramek" title="Bezpośrednio programowalna macierz bramek – Polish" lang="pl" hreflang="pl" data-title="Bezpośrednio programowalna macierz bramek" data-language-autonym="Polski" data-language-local-name="Polish" class="interlanguage-link-target"><span>Polski</span></a></li><li class="interlanguage-link interwiki-pt mw-list-item"><a href="https://pt.wikipedia.org/wiki/Arranjo_de_porta_program%C3%A1vel_em_campo" title="Arranjo de porta programável em campo – Portuguese" lang="pt" hreflang="pt" data-title="Arranjo de porta programável em campo" data-language-autonym="Português" data-language-local-name="Portuguese" class="interlanguage-link-target"><span>Português</span></a></li><li class="interlanguage-link interwiki-ro mw-list-item"><a href="https://ro.wikipedia.org/wiki/FPGA" title="FPGA – Romanian" lang="ro" hreflang="ro" data-title="FPGA" data-language-autonym="Română" data-language-local-name="Romanian" class="interlanguage-link-target"><span>Română</span></a></li><li class="interlanguage-link interwiki-ru mw-list-item"><a href="https://ru.wikipedia.org/wiki/%D0%9F%D1%80%D0%BE%D0%B3%D1%80%D0%B0%D0%BC%D0%BC%D0%B8%D1%80%D1%83%D0%B5%D0%BC%D0%B0%D1%8F_%D0%BF%D0%BE%D0%BB%D1%8C%D0%B7%D0%BE%D0%B2%D0%B0%D1%82%D0%B5%D0%BB%D0%B5%D0%BC_%D0%B2%D0%B5%D0%BD%D1%82%D0%B8%D0%BB%D1%8C%D0%BD%D0%B0%D1%8F_%D0%BC%D0%B0%D1%82%D1%80%D0%B8%D1%86%D0%B0" title="Программируемая пользователем вентильная матрица – Russian" lang="ru" hreflang="ru" data-title="Программируемая пользователем вентильная матрица" data-language-autonym="Русский" data-language-local-name="Russian" class="interlanguage-link-target"><span>Русский</span></a></li><li class="interlanguage-link interwiki-simple mw-list-item"><a href="https://simple.wikipedia.org/wiki/Field-programmable_gate_array" title="Field-programmable gate array – Simple English" lang="en-simple" hreflang="en-simple" data-title="Field-programmable gate array" data-language-autonym="Simple English" data-language-local-name="Simple English" class="interlanguage-link-target"><span>Simple English</span></a></li><li class="interlanguage-link interwiki-sk mw-list-item"><a href="https://sk.wikipedia.org/wiki/Field_programmable_gate_array" title="Field programmable gate array – Slovak" lang="sk" hreflang="sk" data-title="Field programmable gate array" data-language-autonym="Slovenčina" data-language-local-name="Slovak" class="interlanguage-link-target"><span>Slovenčina</span></a></li><li class="interlanguage-link interwiki-sr mw-list-item"><a href="https://sr.wikipedia.org/wiki/FPGA" title="FPGA – Serbian" lang="sr" hreflang="sr" data-title="FPGA" data-language-autonym="Српски / srpski" data-language-local-name="Serbian" class="interlanguage-link-target"><span>Српски / srpski</span></a></li><li class="interlanguage-link interwiki-fi mw-list-item"><a href="https://fi.wikipedia.org/wiki/FPGA" title="FPGA – Finnish" lang="fi" hreflang="fi" data-title="FPGA" data-language-autonym="Suomi" data-language-local-name="Finnish" class="interlanguage-link-target"><span>Suomi</span></a></li><li class="interlanguage-link interwiki-sv mw-list-item"><a href="https://sv.wikipedia.org/wiki/Field-programmable_gate_array" title="Field-programmable gate array – Swedish" lang="sv" hreflang="sv" data-title="Field-programmable gate array" data-language-autonym="Svenska" data-language-local-name="Swedish" class="interlanguage-link-target"><span>Svenska</span></a></li><li class="interlanguage-link interwiki-tl mw-list-item"><a href="https://tl.wikipedia.org/wiki/FPGA" title="FPGA – Tagalog" lang="tl" hreflang="tl" data-title="FPGA" data-language-autonym="Tagalog" data-language-local-name="Tagalog" class="interlanguage-link-target"><span>Tagalog</span></a></li><li class="interlanguage-link interwiki-th mw-list-item"><a href="https://th.wikipedia.org/wiki/%E0%B9%80%E0%B8%AD%E0%B8%9F%E0%B8%9E%E0%B8%B5%E0%B8%88%E0%B8%B5%E0%B9%80%E0%B8%AD" title="เอฟพีจีเอ – Thai" lang="th" hreflang="th" data-title="เอฟพีจีเอ" data-language-autonym="ไทย" data-language-local-name="Thai" class="interlanguage-link-target"><span>ไทย</span></a></li><li class="interlanguage-link interwiki-tr mw-list-item"><a href="https://tr.wikipedia.org/wiki/Alanda_programlanabilir_kap%C4%B1_dizisi" title="Alanda programlanabilir kapı dizisi – Turkish" lang="tr" hreflang="tr" data-title="Alanda programlanabilir kapı dizisi" data-language-autonym="Türkçe" data-language-local-name="Turkish" class="interlanguage-link-target"><span>Türkçe</span></a></li><li class="interlanguage-link interwiki-uk mw-list-item"><a href="https://uk.wikipedia.org/wiki/FPGA" title="FPGA – Ukrainian" lang="uk" hreflang="uk" data-title="FPGA" data-language-autonym="Українська" data-language-local-name="Ukrainian" class="interlanguage-link-target"><span>Українська</span></a></li><li class="interlanguage-link interwiki-vi mw-list-item"><a href="https://vi.wikipedia.org/wiki/FPGA" title="FPGA – Vietnamese" lang="vi" hreflang="vi" data-title="FPGA" data-language-autonym="Tiếng Việt" data-language-local-name="Vietnamese" class="interlanguage-link-target"><span>Tiếng Việt</span></a></li><li class="interlanguage-link interwiki-zh mw-list-item"><a href="https://zh.wikipedia.org/wiki/%E7%8E%B0%E5%9C%BA%E5%8F%AF%E7%BC%96%E7%A8%8B%E9%80%BB%E8%BE%91%E9%97%A8%E9%98%B5%E5%88%97" title="现场可编程逻辑门阵列 – Chinese" lang="zh" hreflang="zh" data-title="现场可编程逻辑门阵列" data-language-autonym="中文" data-language-local-name="Chinese" class="interlanguage-link-target"><span>中文</span></a></li> </ul> <div class="after-portlet after-portlet-lang"><span class="wb-langlinks-edit wb-langlinks-link"><a href="https://www.wikidata.org/wiki/Special:EntityPage/Q190411#sitelinks-wikipedia" title="Edit interlanguage links" class="wbc-editpage">Edit links</a></span></div> </div> </div> </div> </header> <div class="vector-page-toolbar"> <div class="vector-page-toolbar-container"> <div id="left-navigation"> <nav aria-label="Namespaces"> <div id="p-associated-pages" class="vector-menu vector-menu-tabs mw-portlet mw-portlet-associated-pages" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="ca-nstab-main" class="selected vector-tab-noicon mw-list-item"><a href="/wiki/Field-programmable_gate_array" title="View the content page [c]" accesskey="c"><span>Article</span></a></li><li id="ca-talk" class="vector-tab-noicon mw-list-item"><a href="/wiki/Talk:Field-programmable_gate_array" rel="discussion" title="Discuss improvements to the content page [t]" accesskey="t"><span>Talk</span></a></li> </ul> </div> </div> <div id="vector-variants-dropdown" class="vector-dropdown emptyPortlet" > <input type="checkbox" id="vector-variants-dropdown-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-variants-dropdown" class="vector-dropdown-checkbox " aria-label="Change language variant" > <label id="vector-variants-dropdown-label" for="vector-variants-dropdown-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet" aria-hidden="true" ><span class="vector-dropdown-label-text">English</span> </label> <div class="vector-dropdown-content"> <div id="p-variants" class="vector-menu mw-portlet mw-portlet-variants emptyPortlet" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> </ul> </div> </div> </div> </div> </nav> </div> <div id="right-navigation" class="vector-collapsible"> <nav aria-label="Views"> <div id="p-views" class="vector-menu vector-menu-tabs mw-portlet mw-portlet-views" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="ca-view" class="selected vector-tab-noicon mw-list-item"><a href="/wiki/Field-programmable_gate_array"><span>Read</span></a></li><li id="ca-edit" class="vector-tab-noicon mw-list-item"><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit" title="Edit this page [e]" accesskey="e"><span>Edit</span></a></li><li id="ca-history" class="vector-tab-noicon mw-list-item"><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=history" title="Past revisions of this page [h]" accesskey="h"><span>View history</span></a></li> </ul> </div> </div> </nav> <nav class="vector-page-tools-landmark" aria-label="Page tools"> <div id="vector-page-tools-dropdown" class="vector-dropdown vector-page-tools-dropdown" > <input type="checkbox" id="vector-page-tools-dropdown-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-page-tools-dropdown" class="vector-dropdown-checkbox " aria-label="Tools" > <label id="vector-page-tools-dropdown-label" for="vector-page-tools-dropdown-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet" aria-hidden="true" ><span class="vector-dropdown-label-text">Tools</span> </label> <div class="vector-dropdown-content"> <div id="vector-page-tools-unpinned-container" class="vector-unpinned-container"> <div id="vector-page-tools" class="vector-page-tools vector-pinnable-element"> <div class="vector-pinnable-header vector-page-tools-pinnable-header vector-pinnable-header-unpinned" data-feature-name="page-tools-pinned" data-pinnable-element-id="vector-page-tools" data-pinned-container-id="vector-page-tools-pinned-container" data-unpinned-container-id="vector-page-tools-unpinned-container" > <div class="vector-pinnable-header-label">Tools</div> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-pin-button" data-event-name="pinnable-header.vector-page-tools.pin">move to sidebar</button> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-unpin-button" data-event-name="pinnable-header.vector-page-tools.unpin">hide</button> </div> <div id="p-cactions" class="vector-menu mw-portlet mw-portlet-cactions emptyPortlet vector-has-collapsible-items" title="More options" > <div class="vector-menu-heading"> Actions </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="ca-more-view" class="selected vector-more-collapsible-item mw-list-item"><a href="/wiki/Field-programmable_gate_array"><span>Read</span></a></li><li id="ca-more-edit" class="vector-more-collapsible-item mw-list-item"><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit" title="Edit this page [e]" accesskey="e"><span>Edit</span></a></li><li id="ca-more-history" class="vector-more-collapsible-item mw-list-item"><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=history"><span>View history</span></a></li> </ul> </div> </div> <div id="p-tb" class="vector-menu mw-portlet mw-portlet-tb" > <div class="vector-menu-heading"> General </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="t-whatlinkshere" class="mw-list-item"><a href="/wiki/Special:WhatLinksHere/Field-programmable_gate_array" title="List of all English Wikipedia pages containing links to this page [j]" accesskey="j"><span>What links here</span></a></li><li id="t-recentchangeslinked" class="mw-list-item"><a href="/wiki/Special:RecentChangesLinked/Field-programmable_gate_array" rel="nofollow" title="Recent changes in pages linked from this page [k]" accesskey="k"><span>Related changes</span></a></li><li id="t-upload" class="mw-list-item"><a href="/wiki/Wikipedia:File_Upload_Wizard" title="Upload files [u]" accesskey="u"><span>Upload file</span></a></li><li id="t-specialpages" class="mw-list-item"><a href="/wiki/Special:SpecialPages" title="A list of all special pages [q]" accesskey="q"><span>Special pages</span></a></li><li id="t-permalink" class="mw-list-item"><a href="/w/index.php?title=Field-programmable_gate_array&amp;oldid=1257610395" title="Permanent link to this revision of this page"><span>Permanent link</span></a></li><li id="t-info" class="mw-list-item"><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=info" title="More information about this page"><span>Page information</span></a></li><li id="t-cite" class="mw-list-item"><a href="/w/index.php?title=Special:CiteThisPage&amp;page=Field-programmable_gate_array&amp;id=1257610395&amp;wpFormIdentifier=titleform" title="Information on how to cite this page"><span>Cite this page</span></a></li><li id="t-urlshortener" class="mw-list-item"><a href="/w/index.php?title=Special:UrlShortener&amp;url=https%3A%2F%2Fen.wikipedia.org%2Fwiki%2FField-programmable_gate_array"><span>Get shortened URL</span></a></li><li id="t-urlshortener-qrcode" class="mw-list-item"><a href="/w/index.php?title=Special:QrCode&amp;url=https%3A%2F%2Fen.wikipedia.org%2Fwiki%2FField-programmable_gate_array"><span>Download QR code</span></a></li> </ul> </div> </div> <div id="p-coll-print_export" class="vector-menu mw-portlet mw-portlet-coll-print_export" > <div class="vector-menu-heading"> Print/export </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="coll-download-as-rl" class="mw-list-item"><a href="/w/index.php?title=Special:DownloadAsPdf&amp;page=Field-programmable_gate_array&amp;action=show-download-screen" title="Download this page as a PDF file"><span>Download as PDF</span></a></li><li id="t-print" class="mw-list-item"><a href="/w/index.php?title=Field-programmable_gate_array&amp;printable=yes" title="Printable version of this page [p]" accesskey="p"><span>Printable version</span></a></li> </ul> </div> </div> <div id="p-wikibase-otherprojects" class="vector-menu mw-portlet mw-portlet-wikibase-otherprojects" > <div class="vector-menu-heading"> In other projects </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li class="wb-otherproject-link wb-otherproject-commons mw-list-item"><a href="https://commons.wikimedia.org/wiki/Category:Field-programmable_gate_arrays" hreflang="en"><span>Wikimedia Commons</span></a></li><li id="t-wikibase" class="wb-otherproject-link wb-otherproject-wikibase-dataitem mw-list-item"><a href="https://www.wikidata.org/wiki/Special:EntityPage/Q190411" title="Structured data on this page hosted by Wikidata [g]" accesskey="g"><span>Wikidata item</span></a></li> </ul> </div> </div> </div> </div> </div> </div> </nav> </div> </div> </div> <div class="vector-column-end"> <div class="vector-sticky-pinned-container"> <nav class="vector-page-tools-landmark" aria-label="Page tools"> <div id="vector-page-tools-pinned-container" class="vector-pinned-container"> </div> </nav> <nav class="vector-appearance-landmark" aria-label="Appearance"> <div id="vector-appearance-pinned-container" class="vector-pinned-container"> <div id="vector-appearance" class="vector-appearance vector-pinnable-element"> <div class="vector-pinnable-header vector-appearance-pinnable-header vector-pinnable-header-pinned" data-feature-name="appearance-pinned" data-pinnable-element-id="vector-appearance" data-pinned-container-id="vector-appearance-pinned-container" data-unpinned-container-id="vector-appearance-unpinned-container" > <div class="vector-pinnable-header-label">Appearance</div> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-pin-button" data-event-name="pinnable-header.vector-appearance.pin">move to sidebar</button> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-unpin-button" data-event-name="pinnable-header.vector-appearance.unpin">hide</button> </div> </div> </div> </nav> </div> </div> <div id="bodyContent" class="vector-body" aria-labelledby="firstHeading" data-mw-ve-target-container> <div class="vector-body-before-content"> <div class="mw-indicators"> </div> <div id="siteSub" class="noprint">From Wikipedia, the free encyclopedia</div> </div> <div id="contentSub"><div id="mw-content-subtitle"><span class="mw-redirectedfrom">(Redirected from <a href="/w/index.php?title=Field-Programmable_Gate_Array&amp;redirect=no" class="mw-redirect" title="Field-Programmable Gate Array">Field-Programmable Gate Array</a>)</span></div></div> <div id="mw-content-text" class="mw-body-content"><div class="mw-content-ltr mw-parser-output" lang="en" dir="ltr"><div class="shortdescription nomobile noexcerpt noprint searchaux" style="display:none">Array of logic gates that are reprogrammable</div> <style data-mw-deduplicate="TemplateStyles:r1236090951">.mw-parser-output .hatnote{font-style:italic}.mw-parser-output div.hatnote{padding-left:1.6em;margin-bottom:0.5em}.mw-parser-output .hatnote i{font-style:normal}.mw-parser-output .hatnote+link+.hatnote{margin-top:-0.5em}@media print{body.ns-0 .mw-parser-output .hatnote{display:none!important}}</style><div role="note" class="hatnote navigation-not-searchable">"FPGA" redirects here. Not to be confused with <a href="/wiki/Flip-chip_pin_grid_array" class="mw-redirect" title="Flip-chip pin grid array">Flip-chip pin grid array</a>.</div> <p class="mw-empty-elt"> </p> <figure class="mw-default-size" typeof="mw:File/Thumb"><a href="/wiki/File:Altera_StratixIVGX_FPGA.jpg" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/f/fa/Altera_StratixIVGX_FPGA.jpg/220px-Altera_StratixIVGX_FPGA.jpg" decoding="async" width="220" height="147" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/f/fa/Altera_StratixIVGX_FPGA.jpg/330px-Altera_StratixIVGX_FPGA.jpg 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/f/fa/Altera_StratixIVGX_FPGA.jpg/440px-Altera_StratixIVGX_FPGA.jpg 2x" data-file-width="504" data-file-height="337" /></a><figcaption>A <a href="/wiki/Stratix_(FPGA)" class="mw-redirect" title="Stratix (FPGA)">Stratix IV</a> FPGA from <a href="/wiki/Altera" title="Altera">Altera</a></figcaption></figure> <style data-mw-deduplicate="TemplateStyles:r1237032888/mw-parser-output/.tmulti">.mw-parser-output .tmulti .multiimageinner{display:flex;flex-direction:column}.mw-parser-output .tmulti .trow{display:flex;flex-direction:row;clear:left;flex-wrap:wrap;width:100%;box-sizing:border-box}.mw-parser-output .tmulti .tsingle{margin:1px;float:left}.mw-parser-output .tmulti .theader{clear:both;font-weight:bold;text-align:center;align-self:center;background-color:transparent;width:100%}.mw-parser-output .tmulti .thumbcaption{background-color:transparent}.mw-parser-output .tmulti .text-align-left{text-align:left}.mw-parser-output .tmulti .text-align-right{text-align:right}.mw-parser-output .tmulti .text-align-center{text-align:center}@media all and (max-width:720px){.mw-parser-output .tmulti .thumbinner{width:100%!important;box-sizing:border-box;max-width:none!important;align-items:center}.mw-parser-output .tmulti .trow{justify-content:center}.mw-parser-output .tmulti .tsingle{float:none!important;max-width:100%!important;box-sizing:border-box;text-align:center}.mw-parser-output .tmulti .tsingle .thumbcaption{text-align:left}.mw-parser-output .tmulti .trow>.thumbcaption{text-align:center}}@media screen{html.skin-theme-clientpref-night .mw-parser-output .tmulti .multiimageinner img{background-color:white}}@media screen and (prefers-color-scheme:dark){html.skin-theme-clientpref-os .mw-parser-output .tmulti .multiimageinner img{background-color:white}}</style><div class="thumb tmulti tright"><div class="thumbinner multiimageinner" style="width:408px;max-width:408px"><div class="trow"><div class="theader">Spartan FPGA from <a href="/wiki/Xilinx" title="Xilinx">Xilinx</a></div></div><div class="trow"><div class="tsingle" style="width:202px;max-width:202px"><div class="thumbimage"><span typeof="mw:File"><a href="/wiki/File:Xerox_ColorQube_8570_-_Main_controller_-_Xilinx_Spartan_XC3S400A-0205.jpg" class="mw-file-description"><img alt="" src="//upload.wikimedia.org/wikipedia/commons/thumb/a/a1/Xerox_ColorQube_8570_-_Main_controller_-_Xilinx_Spartan_XC3S400A-0205.jpg/200px-Xerox_ColorQube_8570_-_Main_controller_-_Xilinx_Spartan_XC3S400A-0205.jpg" decoding="async" width="200" height="200" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/a/a1/Xerox_ColorQube_8570_-_Main_controller_-_Xilinx_Spartan_XC3S400A-0205.jpg/300px-Xerox_ColorQube_8570_-_Main_controller_-_Xilinx_Spartan_XC3S400A-0205.jpg 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/a/a1/Xerox_ColorQube_8570_-_Main_controller_-_Xilinx_Spartan_XC3S400A-0205.jpg/400px-Xerox_ColorQube_8570_-_Main_controller_-_Xilinx_Spartan_XC3S400A-0205.jpg 2x" data-file-width="2912" data-file-height="2912" /></a></span></div><div class="thumbcaption"><a href="/wiki/Integrated_circuit_packaging" title="Integrated circuit packaging">Package</a></div></div><div class="tsingle" style="width:202px;max-width:202px"><div class="thumbimage"><span typeof="mw:File"><a href="/wiki/File:Xilinx_Spartan_FPGA_die_shot.jpg" class="mw-file-description"><img alt="" src="//upload.wikimedia.org/wikipedia/commons/thumb/3/33/Xilinx_Spartan_FPGA_die_shot.jpg/200px-Xilinx_Spartan_FPGA_die_shot.jpg" decoding="async" width="200" height="205" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/3/33/Xilinx_Spartan_FPGA_die_shot.jpg/300px-Xilinx_Spartan_FPGA_die_shot.jpg 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/3/33/Xilinx_Spartan_FPGA_die_shot.jpg/400px-Xilinx_Spartan_FPGA_die_shot.jpg 2x" data-file-width="6137" data-file-height="6303" /></a></span></div><div class="thumbcaption"><a href="/wiki/Die_(integrated_circuit)" title="Die (integrated circuit)">Die</a></div></div></div></div></div> <p>A <b>field-programmable gate array</b> (<b>FPGA</b>) is a type of configurable <a href="/wiki/Integrated_circuit" title="Integrated circuit">integrated circuit</a> that can be repeatedly programmed after manufacturing. FPGAs are a subset of logic devices referred to as <a href="/wiki/Programmable_logic_devices" class="mw-redirect" title="Programmable logic devices">programmable logic devices</a> (PLDs). They consist of an array of <a href="/wiki/Programmable_logic_device" title="Programmable logic device">programmable</a> <a href="/wiki/Logic_block" title="Logic block">logic blocks</a> with a connecting grid, that can be configured "in the field" to interconnect with other logic blocks to perform various digital functions. FPGAs are often used in limited (low) quantity production of custom-made products, and in research and development, where the higher cost of individual FPGAs is not as important, and where creating and manufacturing a custom circuit wouldn't be feasible. Other applications for FPGAs include the telecommunications, automotive, aerospace, and industrial sectors, which benefit from their flexibility, high signal processing speed, and parallel processing abilities. </p><p>A FPGA configuration is generally written using a <a href="/wiki/Hardware_description_language" title="Hardware description language">hardware description language</a> (HDL) e.g. <a href="/wiki/VHDL" title="VHDL">VHDL</a>, similar to the ones used for <a href="/wiki/Application-specific_integrated_circuit" title="Application-specific integrated circuit">application-specific integrated circuits</a> (ASICs). <a href="/wiki/Circuit_diagram" title="Circuit diagram">Circuit diagrams</a> were formerly used to write the configuration. </p><p>The logic blocks of an FPGA can be configured to perform complex <a href="/wiki/Combinational_logic" title="Combinational logic">combinational functions</a>, or act as simple <a href="/wiki/Logic_gate" title="Logic gate">logic gates</a> like <a href="/wiki/AND_gate" title="AND gate">AND</a> and <a href="/wiki/XOR_gate" title="XOR gate">XOR</a>. In most FPGAs, logic blocks also include <a href="/wiki/Memory_cell_(computing)" title="Memory cell (computing)">memory elements</a>, which may be simple <a href="/wiki/Flip-flop_(electronics)" title="Flip-flop (electronics)">flip-flops</a> or more sophisticated blocks of memory.<sup id="cite_ref-FPGA_1-0" class="reference"><a href="#cite_note-FPGA-1"><span class="cite-bracket">&#91;</span>1<span class="cite-bracket">&#93;</span></a></sup> Many FPGAs can be reprogrammed to implement different <a href="/wiki/Boolean_function" title="Boolean function">logic functions</a>, allowing flexible <a href="/wiki/Reconfigurable_computing" title="Reconfigurable computing">reconfigurable computing</a> as performed in <a href="/wiki/Computer_software" class="mw-redirect" title="Computer software">computer software</a>. </p><p>FPGAs also have a role in <a href="/wiki/Embedded_system" title="Embedded system">embedded system</a> development due to their capability to start system software development simultaneously with hardware, enable system performance simulations at a very early phase of the development, and allow various system trials and design iterations before finalizing the system architecture.<sup id="cite_ref-2" class="reference"><a href="#cite_note-2"><span class="cite-bracket">&#91;</span>2<span class="cite-bracket">&#93;</span></a></sup> </p><p>FPGAs are also commonly used during the development of ASICs to speed up the simulation process. </p> <meta property="mw:PageProp/toc" /> <div class="mw-heading mw-heading2"><h2 id="History">History</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=1" title="Edit section: History"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>The FPGA industry sprouted from <a href="/wiki/Programmable_read-only_memory" class="mw-redirect" title="Programmable read-only memory">programmable read-only memory</a> (PROM) and <a href="/wiki/Programmable_logic_device" title="Programmable logic device">programmable logic devices</a> (PLDs). PROMs and PLDs both had the option of being programmed in batches in a factory or in the field (field-programmable).<sup id="cite_ref-history_3-0" class="reference"><a href="#cite_note-history-3"><span class="cite-bracket">&#91;</span>3<span class="cite-bracket">&#93;</span></a></sup> </p><p><a href="/wiki/Altera" title="Altera">Altera</a> was founded in 1983 and delivered the industry's first reprogrammable logic device in 1984 – the EP300 – which featured a quartz window in the package that allowed users to shine an ultra-violet lamp on the <a href="/wiki/Die_(integrated_circuit)" title="Die (integrated circuit)">die</a> to erase the <a href="/wiki/EPROM" title="EPROM">EPROM</a> cells that held the device configuration.<sup id="cite_ref-4" class="reference"><a href="#cite_note-4"><span class="cite-bracket">&#91;</span>4<span class="cite-bracket">&#93;</span></a></sup> </p><p><a href="/wiki/Xilinx" title="Xilinx">Xilinx</a> produced the first commercially viable field-programmable <a href="/wiki/Gate_array" title="Gate array">gate array</a> in 1985<sup id="cite_ref-history_3-1" class="reference"><a href="#cite_note-history-3"><span class="cite-bracket">&#91;</span>3<span class="cite-bracket">&#93;</span></a></sup>&#160;&#8211;&#32;the XC2064.<sup id="cite_ref-:0_5-0" class="reference"><a href="#cite_note-:0-5"><span class="cite-bracket">&#91;</span>5<span class="cite-bracket">&#93;</span></a></sup> The XC2064 had programmable gates and programmable interconnects between gates, the beginnings of a new technology and market.<sup id="cite_ref-four_6-0" class="reference"><a href="#cite_note-four-6"><span class="cite-bracket">&#91;</span>6<span class="cite-bracket">&#93;</span></a></sup> The XC2064 had 64 configurable logic blocks (CLBs), with two three-input <a href="/wiki/Lookup_table" title="Lookup table">lookup tables</a> (LUTs).<sup id="cite_ref-clive_7-0" class="reference"><a href="#cite_note-clive-7"><span class="cite-bracket">&#91;</span>7<span class="cite-bracket">&#93;</span></a></sup> </p><p>In 1987, the <a href="/wiki/Naval_Surface_Warfare_Center" title="Naval Surface Warfare Center">Naval Surface Warfare Center</a> funded an experiment proposed by Steve Casselman to develop a computer that would implement 600,000 reprogrammable gates. Casselman was successful and a patent related to the system was issued in 1992.<sup id="cite_ref-history_3-2" class="reference"><a href="#cite_note-history-3"><span class="cite-bracket">&#91;</span>3<span class="cite-bracket">&#93;</span></a></sup> </p><p>Altera and Xilinx continued unchallenged and quickly grew from 1985 to the mid-1990s when competitors sprouted up, eroding a significant portion of their market share. By 1993, Actel (later <a href="/wiki/Microsemi" title="Microsemi">Microsemi</a>, now <a href="/wiki/Microchip_Technology" title="Microchip Technology">Microchip</a>) was serving about 18 percent of the market.<sup id="cite_ref-four_6-1" class="reference"><a href="#cite_note-four-6"><span class="cite-bracket">&#91;</span>6<span class="cite-bracket">&#93;</span></a></sup> </p><p>The 1990s were a period of rapid growth for FPGAs, both in circuit sophistication and the volume of production. In the early 1990s, FPGAs were primarily used in <a href="/wiki/Telecommunications" title="Telecommunications">telecommunications</a> and <a href="/wiki/Computer_network" title="Computer network">networking</a>. By the end of the decade, FPGAs found their way into consumer, automotive, and industrial applications.<sup id="cite_ref-Maxfield_8-0" class="reference"><a href="#cite_note-Maxfield-8"><span class="cite-bracket">&#91;</span>8<span class="cite-bracket">&#93;</span></a></sup> </p><p>By 2013, Altera (31 percent), Xilinx (36 percent) and Actel (10 percent) together represented approximately 77 percent of the FPGA market.<sup id="cite_ref-9" class="reference"><a href="#cite_note-9"><span class="cite-bracket">&#91;</span>9<span class="cite-bracket">&#93;</span></a></sup> </p><p>Companies like Microsoft have started to use FPGAs to accelerate high-performance, computationally intensive systems (like the <a href="/wiki/Data_center" title="Data center">data centers</a> that operate their <a href="/wiki/Bing_search_engine" class="mw-redirect" title="Bing search engine">Bing search engine</a>), due to the <a href="/wiki/Performance_per_watt" title="Performance per watt">performance per watt</a> advantage FPGAs deliver.<sup id="cite_ref-10" class="reference"><a href="#cite_note-10"><span class="cite-bracket">&#91;</span>10<span class="cite-bracket">&#93;</span></a></sup> Microsoft began using FPGAs to <a href="/wiki/Hardware_acceleration" title="Hardware acceleration">accelerate</a> Bing in 2014, and in 2018 began deploying FPGAs across other data center workloads for their <a href="/wiki/Microsoft_Azure" title="Microsoft Azure">Azure</a> <a href="/wiki/Cloud_computing" title="Cloud computing">cloud computing</a> platform.<sup id="cite_ref-ProjCatapult_11-0" class="reference"><a href="#cite_note-ProjCatapult-11"><span class="cite-bracket">&#91;</span>11<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Growth">Growth</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=2" title="Edit section: Growth"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>The following timelines indicate progress in different aspects of FPGA design. </p> <div class="mw-heading mw-heading4"><h4 id="Gates">Gates</h4><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=3" title="Edit section: Gates"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <ul><li>1987: 9,000 gates, Xilinx<sup id="cite_ref-four_6-2" class="reference"><a href="#cite_note-four-6"><span class="cite-bracket">&#91;</span>6<span class="cite-bracket">&#93;</span></a></sup></li> <li>1992: 600,000, Naval Surface Warfare Department<sup id="cite_ref-history_3-3" class="reference"><a href="#cite_note-history-3"><span class="cite-bracket">&#91;</span>3<span class="cite-bracket">&#93;</span></a></sup></li> <li>Early 2000s: millions<sup id="cite_ref-Maxfield_8-1" class="reference"><a href="#cite_note-Maxfield-8"><span class="cite-bracket">&#91;</span>8<span class="cite-bracket">&#93;</span></a></sup></li> <li>2013: 50 million, Xilinx<sup id="cite_ref-gates2013_12-0" class="reference"><a href="#cite_note-gates2013-12"><span class="cite-bracket">&#91;</span>12<span class="cite-bracket">&#93;</span></a></sup></li></ul> <div class="mw-heading mw-heading4"><h4 id="Market_size">Market size</h4><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=4" title="Edit section: Market size"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <ul><li>1985: First commercial FPGA&#160;: Xilinx XC2064<sup id="cite_ref-:0_5-1" class="reference"><a href="#cite_note-:0-5"><span class="cite-bracket">&#91;</span>5<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-four_6-3" class="reference"><a href="#cite_note-four-6"><span class="cite-bracket">&#91;</span>6<span class="cite-bracket">&#93;</span></a></sup></li> <li>1987: $14 million<sup id="cite_ref-four_6-4" class="reference"><a href="#cite_note-four-6"><span class="cite-bracket">&#91;</span>6<span class="cite-bracket">&#93;</span></a></sup></li> <li><abbr title="circa">c.</abbr><span style="white-space:nowrap;">&#8201;1993</span>: &gt;$385 million<sup id="cite_ref-four_6-5" class="reference"><a href="#cite_note-four-6"><span class="cite-bracket">&#91;</span>6<span class="cite-bracket">&#93;</span></a></sup><sup class="noprint Inline-Template" style="white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:Verifiability" title="Wikipedia:Verifiability"><span title="The material near this tag failed verification of its source citation(s). (December 2020)">failed verification</span></a></i>&#93;</sup></li> <li>2005: $1.9 billion<sup id="cite_ref-instat_13-0" class="reference"><a href="#cite_note-instat-13"><span class="cite-bracket">&#91;</span>13<span class="cite-bracket">&#93;</span></a></sup></li> <li>2010 estimates: $2.75 billion<sup id="cite_ref-instat_13-1" class="reference"><a href="#cite_note-instat-13"><span class="cite-bracket">&#91;</span>13<span class="cite-bracket">&#93;</span></a></sup></li> <li>2013: $5.4 billion<sup id="cite_ref-grandviewresearch.com_14-0" class="reference"><a href="#cite_note-grandviewresearch.com-14"><span class="cite-bracket">&#91;</span>14<span class="cite-bracket">&#93;</span></a></sup></li> <li>2020 estimate: $9.8 billion<sup id="cite_ref-grandviewresearch.com_14-1" class="reference"><a href="#cite_note-grandviewresearch.com-14"><span class="cite-bracket">&#91;</span>14<span class="cite-bracket">&#93;</span></a></sup></li> <li>2030 estimate: $23.34 billion<sup id="cite_ref-15" class="reference"><a href="#cite_note-15"><span class="cite-bracket">&#91;</span>15<span class="cite-bracket">&#93;</span></a></sup></li></ul> <div class="mw-heading mw-heading4"><h4 id="Design_starts">Design starts</h4><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=5" title="Edit section: Design starts"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>A <i>design start</i> is a new custom design for implementation on an FPGA. </p> <ul><li>2005: 80,000<sup id="cite_ref-designstarts_16-0" class="reference"><a href="#cite_note-designstarts-16"><span class="cite-bracket">&#91;</span>16<span class="cite-bracket">&#93;</span></a></sup></li> <li>2008: 90,000<sup id="cite_ref-eweekly_17-0" class="reference"><a href="#cite_note-eweekly-17"><span class="cite-bracket">&#91;</span>17<span class="cite-bracket">&#93;</span></a></sup></li></ul> <div class="mw-heading mw-heading2"><h2 id="Design">Design</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=6" title="Edit section: Design"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>Contemporary FPGAs have ample <a href="/wiki/Logic_gate" title="Logic gate">logic gates</a> and RAM blocks to implement complex digital computations. FPGAs can be used to implement any logical function that an <a href="/wiki/ASIC" class="mw-redirect" title="ASIC">ASIC</a> can perform. The ability to update the functionality after shipping, <a href="/wiki/Partial_re-configuration" class="mw-redirect" title="Partial re-configuration">partial re-configuration</a> of a portion of the design<sup id="cite_ref-18" class="reference"><a href="#cite_note-18"><span class="cite-bracket">&#91;</span>18<span class="cite-bracket">&#93;</span></a></sup> and the low non-recurring engineering costs relative to an ASIC design (notwithstanding the generally higher unit cost), offer advantages for many applications.<sup id="cite_ref-FPGA_1-1" class="reference"><a href="#cite_note-FPGA-1"><span class="cite-bracket">&#91;</span>1<span class="cite-bracket">&#93;</span></a></sup> </p><p>As FPGA designs employ very fast I/O rates and bidirectional data <a href="/wiki/Bus_(computing)" title="Bus (computing)">buses</a>, it becomes a challenge to verify correct timing of valid data within setup time and hold time.<sup id="cite_ref-19" class="reference"><a href="#cite_note-19"><span class="cite-bracket">&#91;</span>19<span class="cite-bracket">&#93;</span></a></sup> <a href="/wiki/Floorplan_(microelectronics)" title="Floorplan (microelectronics)">Floor planning</a> helps resource allocation within FPGAs to meet these timing constraints. </p><p>Some FPGAs have analog features in addition to digital functions. The most common analog feature is a programmable <a href="/wiki/Slew_rate" title="Slew rate">slew rate</a> on each output pin. This allows the user to set low rates on lightly loaded pins that would otherwise <a href="/wiki/Electrical_resonance" title="Electrical resonance">ring</a> or <a href="/wiki/Coupling_(electronics)" title="Coupling (electronics)">couple</a> unacceptably, and to set higher rates on heavily loaded high-speed channels that would otherwise run too slowly.<sup id="cite_ref-20" class="reference"><a href="#cite_note-20"><span class="cite-bracket">&#91;</span>20<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-21" class="reference"><a href="#cite_note-21"><span class="cite-bracket">&#91;</span>21<span class="cite-bracket">&#93;</span></a></sup> Also common are quartz-<a href="/wiki/Crystal_oscillator" title="Crystal oscillator">crystal oscillator</a> driver circuitry, on-chip <a href="/wiki/RC_oscillator" title="RC oscillator">RC oscillators</a>, and <a href="/wiki/Phase-locked_loop" title="Phase-locked loop">phase-locked loops</a> with embedded <a href="/wiki/Voltage-controlled_oscillator" title="Voltage-controlled oscillator">voltage-controlled oscillators</a> used for clock generation and management as well as for high-speed serializer-deserializer (SERDES) transmit clocks and receiver clock recovery. Fairly common are differential <a href="/wiki/Comparator" title="Comparator">comparators</a> on input pins designed to be connected to <a href="/wiki/Differential_signaling" class="mw-redirect" title="Differential signaling">differential signaling</a> channels. A few <a href="/wiki/Mixed_signal" class="mw-redirect" title="Mixed signal">mixed signal</a> FPGAs have integrated peripheral <a href="/wiki/Analog-to-digital_converter" title="Analog-to-digital converter">analog-to-digital converters</a> (ADCs) and <a href="/wiki/Digital-to-analog_converter" title="Digital-to-analog converter">digital-to-analog converters</a> (DACs) with analog signal conditioning blocks, allowing them to operate as a <a href="/wiki/System_on_a_chip" title="System on a chip">system on a chip</a> (SoC).<sup id="cite_ref-22" class="reference"><a href="#cite_note-22"><span class="cite-bracket">&#91;</span>22<span class="cite-bracket">&#93;</span></a></sup> Such devices blur the line between an FPGA, which carries digital ones and zeros on its internal programmable interconnect fabric, and <a href="/wiki/Field-programmable_analog_array" title="Field-programmable analog array">field-programmable analog array</a> (FPAA), which carries analog values on its internal programmable interconnect fabric. </p> <div class="mw-heading mw-heading3"><h3 id="Logic_blocks">Logic blocks</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=7" title="Edit section: Logic blocks"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1236090951"><div role="note" class="hatnote navigation-not-searchable">Main article: <a href="/wiki/Logic_block" title="Logic block">Logic block</a></div> <figure class="mw-default-size" typeof="mw:File/Thumb"><a href="/wiki/File:FPGA_cell_example.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/1/1c/FPGA_cell_example.png/220px-FPGA_cell_example.png" decoding="async" width="220" height="99" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/1/1c/FPGA_cell_example.png/330px-FPGA_cell_example.png 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/1/1c/FPGA_cell_example.png/440px-FPGA_cell_example.png 2x" data-file-width="957" data-file-height="430" /></a><figcaption>Simplified example illustration of a logic cell (LUT&#160;&#8211; <a href="/wiki/Lookup_table" title="Lookup table">Lookup table</a>, FA&#160;&#8211; <a href="/wiki/Full_adder" class="mw-redirect" title="Full adder">Full adder</a>, DFF&#160;&#8211; <a href="/wiki/D-type_flip-flop" class="mw-redirect" title="D-type flip-flop">D-type flip-flop</a>)</figcaption></figure> <p>The most common FPGA architecture consists of an array of <a href="/wiki/Logic_block" title="Logic block">logic blocks</a> called configurable logic blocks (CLBs) or logic array blocks (LABs) (depending on vendor), <a href="/wiki/I/O_address" class="mw-redirect" title="I/O address">I/O pads</a>, and routing channels.<sup id="cite_ref-FPGA_1-2" class="reference"><a href="#cite_note-FPGA-1"><span class="cite-bracket">&#91;</span>1<span class="cite-bracket">&#93;</span></a></sup> Generally, all the routing channels have the same width (number of signals). Multiple I/O pads may fit into the height of one row or the width of one column in the array. </p><p>"An application circuit must be mapped into an FPGA with adequate resources. While the number of logic blocks and I/Os required is easily determined from the design, the number of routing channels needed may vary considerably even among designs with the same amount of logic. For example, a <a href="/wiki/Crossbar_switch" title="Crossbar switch">crossbar switch</a> requires much more routing than a <a href="/wiki/Systolic_array" title="Systolic array">systolic array</a> with the same gate count. Since unused routing channels increase the cost (and decrease the performance) of the FPGA without providing any benefit, FPGA manufacturers try to provide just enough channels so that most designs that will fit in terms of <a href="/wiki/Lookup_table#Hardware_LUTs" title="Lookup table">lookup tables</a> (LUTs) and I/Os can be <a href="/wiki/Routing_(electronic_design_automation)" title="Routing (electronic design automation)">routed</a>. This is determined by estimates such as those derived from <a href="/wiki/Rent%27s_rule" title="Rent&#39;s rule">Rent's rule</a> or by experiments with existing designs."<sup id="cite_ref-23" class="reference"><a href="#cite_note-23"><span class="cite-bracket">&#91;</span>23<span class="cite-bracket">&#93;</span></a></sup> </p><p>In general, a logic block consists of a few logical cells. A typical cell consists of a 4-input LUT, a <a href="/wiki/Adder_(electronics)" title="Adder (electronics)">full adder</a> (FA) and a <a href="/wiki/D-type_flip-flop" class="mw-redirect" title="D-type flip-flop">D-type flip-flop</a>. The LUT might be split into two 3-input LUTs. In <i>normal mode</i> those are combined into a 4-input LUT through the first <a href="/wiki/Multiplexer" title="Multiplexer">multiplexer</a> (mux). In <i>arithmetic</i> mode, their outputs are fed to the adder. The selection of mode is programmed into the second mux. The output can be either <a href="/wiki/Synchronous_circuit" title="Synchronous circuit">synchronous</a> or <a href="/wiki/Asynchronous_circuit" title="Asynchronous circuit">asynchronous</a>, depending on the programming of the third mux. In practice, the entire adder or parts of it are <a href="/wiki/Shannon_expansion" class="mw-redirect" title="Shannon expansion">stored as functions</a> into the LUTs in order to save <a href="/wiki/Circuit_utilization" class="mw-redirect" title="Circuit utilization">space</a>.<sup id="cite_ref-24" class="reference"><a href="#cite_note-24"><span class="cite-bracket">&#91;</span>24<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-25" class="reference"><a href="#cite_note-25"><span class="cite-bracket">&#91;</span>25<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-26" class="reference"><a href="#cite_note-26"><span class="cite-bracket">&#91;</span>26<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Hard_blocks">Hard blocks</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=8" title="Edit section: Hard blocks"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>Modern FPGA families expand upon the above capabilities to include higher-level functionality fixed in silicon. Having these common functions embedded in the circuit reduces the area required and gives those functions increased performance compared to building them from logical primitives. Examples of these include <a href="/wiki/Binary_multiplier" title="Binary multiplier">multipliers</a>, generic <a href="/wiki/Digital_signal_processor" title="Digital signal processor">DSP blocks</a>, <a href="/wiki/Microprocessor" title="Microprocessor">embedded processors</a>, high-speed I/O logic and embedded <a href="/wiki/Computer_memory" title="Computer memory">memories</a>. </p><p>Higher-end FPGAs can contain high-speed <a href="/wiki/Multi-gigabit_transceiver" title="Multi-gigabit transceiver">multi-gigabit transceivers</a> and <i>hard IP cores</i> such as <a href="/wiki/Processor_core" class="mw-redirect" title="Processor core">processor cores</a>, <a href="/wiki/Ethernet" title="Ethernet">Ethernet</a> <a href="/wiki/Medium_access_control" title="Medium access control">medium access control units</a>, <a href="/wiki/Conventional_PCI" class="mw-redirect" title="Conventional PCI">PCI</a> or <a href="/wiki/PCI_Express" title="PCI Express">PCI Express</a> controllers, and external <a href="/wiki/Memory_controller" title="Memory controller">memory controllers</a>. These cores exist alongside the programmable fabric, but they are built out of <a href="/wiki/Transistor" title="Transistor">transistors</a> instead of LUTs so they have ASIC-level performance and power consumption without consuming a significant amount of fabric resources, leaving more of the fabric free for the application-specific logic. The multi-gigabit transceivers also contain high-performance <a href="/wiki/Signal_conditioning" title="Signal conditioning">signal conditioning</a> circuitry along with high-speed serializers and deserializers, components that cannot be built out of LUTs. Higher-level physical layer (PHY) functionality such as <a href="/wiki/Line_coding" class="mw-redirect" title="Line coding">line coding</a> may or may not be implemented alongside the serializers and deserializers in hard logic, depending on the FPGA. </p> <div class="mw-heading mw-heading3"><h3 id="Soft_core">Soft core</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=9" title="Edit section: Soft core"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-default-size" typeof="mw:File/Thumb"><a href="/wiki/File:Xilinx_Zynq-7000_AP_SoC.jpg" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/3/36/Xilinx_Zynq-7000_AP_SoC.jpg/220px-Xilinx_Zynq-7000_AP_SoC.jpg" decoding="async" width="220" height="138" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/3/36/Xilinx_Zynq-7000_AP_SoC.jpg/330px-Xilinx_Zynq-7000_AP_SoC.jpg 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/3/36/Xilinx_Zynq-7000_AP_SoC.jpg/440px-Xilinx_Zynq-7000_AP_SoC.jpg 2x" data-file-width="520" data-file-height="325" /></a><figcaption>A <a href="/wiki/Xilinx" title="Xilinx">Xilinx</a> Zynq-7000 All Programmable System on a Chip</figcaption></figure> <p>An alternate approach to using hard macro processors is to make use of <a href="/wiki/Soft_processor" class="mw-redirect" title="Soft processor">soft processor</a> <a href="/wiki/Semiconductor_intellectual_property_core" title="Semiconductor intellectual property core">IP cores</a> that are implemented within the FPGA logic. <a href="/wiki/Nios_II" title="Nios II">Nios II</a>, <a href="/wiki/MicroBlaze" title="MicroBlaze">MicroBlaze</a> and <a href="/wiki/Mico32" class="mw-redirect" title="Mico32">Mico32</a> are examples of popular softcore processors. Many modern FPGAs are programmed at <i>run time</i>, which has led to the idea of <a href="/wiki/Reconfigurable_computing" title="Reconfigurable computing">reconfigurable computing</a> or reconfigurable systems&#160;– <a href="/wiki/CPU" class="mw-redirect" title="CPU">CPUs</a> that reconfigure themselves to suit the task at hand. Additionally, new non-FPGA architectures are beginning to emerge. Software-configurable microprocessors such as the Stretch S5000 adopt a hybrid approach by providing an array of processor cores and FPGA-like programmable cores on the same chip. </p> <div class="mw-heading mw-heading3"><h3 id="Integration">Integration</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=10" title="Edit section: Integration"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>In 2012 the coarse-grained architectural approach was taken a step further by combining the <a href="/wiki/Logic_block" title="Logic block">logic blocks</a> and interconnects of traditional FPGAs with embedded <a href="/wiki/Microprocessor" title="Microprocessor">microprocessors</a> and related peripherals to form a complete <a href="/wiki/System_on_a_chip" title="System on a chip">system on a programmable chip</a>. Examples of such hybrid technologies can be found in the <a href="/wiki/Xilinx" title="Xilinx">Xilinx</a> Zynq-7000 all <a href="/wiki/Programmable_SoC" class="mw-redirect" title="Programmable SoC">Programmable SoC</a>,<sup id="cite_ref-Xilinx-Inc-Oct-2011-8-K_27-0" class="reference"><a href="#cite_note-Xilinx-Inc-Oct-2011-8-K-27"><span class="cite-bracket">&#91;</span>27<span class="cite-bracket">&#93;</span></a></sup> which includes a 1.0&#160;<a href="/wiki/GHz" class="mw-redirect" title="GHz">GHz</a> dual-core <a href="/wiki/ARM_Cortex-A9" title="ARM Cortex-A9">ARM Cortex-A9</a> MPCore processor <a href="/wiki/Embedded_system" title="Embedded system">embedded</a> within the FPGA's logic fabric,<sup id="cite_ref-Xilinx-Inc-May-2011-10-K_28-0" class="reference"><a href="#cite_note-Xilinx-Inc-May-2011-10-K-28"><span class="cite-bracket">&#91;</span>28<span class="cite-bracket">&#93;</span></a></sup> or in the <a href="/wiki/Altera" title="Altera">Altera</a> Arria V FPGA, which includes an 800&#160;MHz <a href="/wiki/Dual-core" class="mw-redirect" title="Dual-core">dual-core</a> <a href="/wiki/ARM_Cortex-A9" title="ARM Cortex-A9">ARM Cortex-A9</a> MPCore. The <a href="/wiki/Atmel" title="Atmel">Atmel</a> FPSLIC is another such device, which uses an <a href="/wiki/Atmel_AVR" class="mw-redirect" title="Atmel AVR">AVR</a> processor in combination with Atmel's programmable logic architecture. The <a href="/wiki/Microsemi" title="Microsemi">Microsemi</a> <a href="/wiki/SmartFusion" class="mw-redirect" title="SmartFusion">SmartFusion</a> devices incorporate an ARM Cortex-M3 hard processor core (with up to 512&#160;kB of <a href="/wiki/Flash_memory" title="Flash memory">flash</a> and 64&#160;kB of RAM) and analog <a href="/wiki/Peripheral" title="Peripheral">peripherals</a> such as a multi-channel <a href="/wiki/Analog-to-digital_converter" title="Analog-to-digital converter">analog-to-digital converters</a> and <a href="/wiki/Digital-to-analog_converter" title="Digital-to-analog converter">digital-to-analog converters</a> in their <a href="/wiki/Flash_memory" title="Flash memory">flash memory</a>-based FPGA fabric.<sup class="noprint Inline-Template Template-Fact" style="white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:Citation_needed" title="Wikipedia:Citation needed"><span title="This claim needs references to reliable sources. (November 2022)">citation needed</span></a></i>&#93;</sup> </p> <div class="mw-heading mw-heading3"><h3 id="Clocking">Clocking</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=11" title="Edit section: Clocking"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>Most of the logic inside of an FPGA is <a href="/wiki/Synchronous_circuit" title="Synchronous circuit">synchronous circuitry</a> that requires a <a href="/wiki/Clock_signal" title="Clock signal">clock signal</a>. FPGAs contain dedicated global and regional routing networks for clock and reset, typically implemented as an <a href="/wiki/H_tree" title="H tree">H tree</a>, so they can be delivered with minimal <a href="/wiki/Clock_skew" title="Clock skew">skew</a>. FPGAs may contain analog <a href="/wiki/Phase-locked_loop" title="Phase-locked loop">phase-locked loop</a> or <a href="/wiki/Delay-locked_loop" title="Delay-locked loop">delay-locked loop</a> components to synthesize new <a href="/wiki/Clock_frequencies" class="mw-redirect" title="Clock frequencies">clock frequencies</a> and manage <a href="/wiki/Jitter" title="Jitter">jitter</a>. Complex designs can use multiple clocks with different frequency and phase relationships, each forming separate <a href="/wiki/Clock_domain" class="mw-redirect" title="Clock domain">clock domains</a>. These clock signals can be generated locally by an oscillator or they can be recovered from a <a href="/wiki/Data_stream" title="Data stream">data stream</a>. Care must be taken when building <a href="/wiki/Clock_domain_crossing" title="Clock domain crossing">clock domain crossing</a> circuitry to avoid <a href="/wiki/Metastability_(electronics)" title="Metastability (electronics)">metastability</a>. Some FPGAs contain <a href="/wiki/Dual_port_RAM" class="mw-redirect" title="Dual port RAM">dual port RAM</a> blocks that are capable of working with different clocks, aiding in the construction of building <a href="/wiki/FIFO_(computing_and_electronics)" title="FIFO (computing and electronics)">FIFOs</a> and dual port buffers that bridge clock domains. </p> <div class="mw-heading mw-heading3"><h3 id="3D_architectures">3D architectures</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=12" title="Edit section: 3D architectures"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>To shrink the size and power consumption of FPGAs, vendors such as <a href="/wiki/Tabula_(company)" class="mw-redirect" title="Tabula (company)">Tabula</a> and <a href="/wiki/Xilinx" title="Xilinx">Xilinx</a> have introduced <a href="/wiki/Three-dimensional_integrated_circuit" title="Three-dimensional integrated circuit">3D or stacked architectures</a>.<sup id="cite_ref-29" class="reference"><a href="#cite_note-29"><span class="cite-bracket">&#91;</span>29<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-lawrence_30-0" class="reference"><a href="#cite_note-lawrence-30"><span class="cite-bracket">&#91;</span>30<span class="cite-bracket">&#93;</span></a></sup> Following the introduction of its <a href="/wiki/28_nm" class="mw-redirect" title="28 nm">28&#160;nm</a> 7-series FPGAs, Xilinx said that several of the highest-density parts in those FPGA product lines will be constructed using multiple dies in one package, employing technology developed for 3D construction and stacked-die assemblies. </p><p>Xilinx's approach stacks several (three or four) active FPGA dies side by side on a silicon <a href="/wiki/Interposer" title="Interposer">interposer</a>&#160;– a single piece of silicon that carries passive interconnect.<sup id="cite_ref-lawrence_30-1" class="reference"><a href="#cite_note-lawrence-30"><span class="cite-bracket">&#91;</span>30<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-31" class="reference"><a href="#cite_note-31"><span class="cite-bracket">&#91;</span>31<span class="cite-bracket">&#93;</span></a></sup> The multi-die construction also allows different parts of the FPGA to be created with different process technologies, as the process requirements are different between the FPGA fabric itself and the very high speed 28&#160;Gbit/s serial transceivers. An FPGA built in this way is called a <i><a href="/wiki/Heterogeneous_computing" title="Heterogeneous computing">heterogeneous</a> FPGA</i>.<sup id="cite_ref-32" class="reference"><a href="#cite_note-32"><span class="cite-bracket">&#91;</span>32<span class="cite-bracket">&#93;</span></a></sup> </p><p>Altera's heterogeneous approach involves using a single monolithic FPGA die and connecting other dies and technologies to the FPGA using Intel's embedded multi_die interconnect bridge (EMIB) technology.<sup id="cite_ref-33" class="reference"><a href="#cite_note-33"><span class="cite-bracket">&#91;</span>33<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="Programming">Programming</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=13" title="Edit section: Programming"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1236090951"><div role="note" class="hatnote navigation-not-searchable">Further information: <a href="/wiki/Logic_synthesis" title="Logic synthesis">Logic synthesis</a>, <a href="/wiki/Verification_and_validation" title="Verification and validation">Verification and validation</a>, and <a href="/wiki/Place_and_route" title="Place and route">Place and route</a></div> <p>To define the behavior of the FPGA, the user provides a design in a <a href="/wiki/Hardware_description_language" title="Hardware description language">hardware description language</a> (HDL) or as a <a href="/wiki/Schematic" title="Schematic">schematic</a> design. The HDL form is more suited to work with large structures because it's possible to specify high-level functional behavior rather than drawing every piece by hand. However, schematic entry can allow for easier visualization of a design and its <a href="/wiki/Modular_programming" title="Modular programming">component modules</a>. </p><p>Using an <a href="/wiki/Electronic_design_automation" title="Electronic design automation">electronic design automation</a> tool, a technology-mapped <a href="/wiki/Netlist" title="Netlist">netlist</a> is generated. The netlist can then be fit to the actual FPGA architecture using a process called <i><a href="/wiki/Place_and_route" title="Place and route">place and route</a></i>, usually performed by the FPGA company's proprietary place-and-route software. The user will validate the results using <a href="/wiki/Static_timing_analysis" title="Static timing analysis">timing analysis</a>, <a href="/wiki/Simulation" title="Simulation">simulation</a>, and other <a href="/wiki/Verification_and_validation" title="Verification and validation">verification and validation</a> techniques. Once the design and validation process is complete, the binary file generated, typically using the FPGA vendor's proprietary software, is used to (re-)configure the FPGA. This file is transferred to the FPGA via a <a href="/wiki/Serial_communication" title="Serial communication">serial interface</a> (<a href="/wiki/JTAG" title="JTAG">JTAG</a>) or to an external memory device such as an <a href="/wiki/EEPROM" title="EEPROM">EEPROM</a>. </p><p>The most common HDLs are <a href="/wiki/VHDL" title="VHDL">VHDL</a> and <a href="/wiki/Verilog" title="Verilog">Verilog</a>. <a href="/wiki/National_Instruments" title="National Instruments">National Instruments</a>' <a href="/wiki/LabVIEW" title="LabVIEW">LabVIEW</a> graphical programming language (sometimes referred to as <i>G</i>) has an FPGA add-in module available to target and program FPGA hardware. Verilog was created to simplify the process making HDL more robust and flexible. Verilog has a C-like syntax, unlike VHDL.<sup id="cite_ref-34" class="reference"><a href="#cite_note-34"><span class="cite-bracket">&#91;</span>34<span class="cite-bracket">&#93;</span></a></sup><sup class="noprint Inline-Template" style="white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:Verifiability#Self-published_sources" title="Wikipedia:Verifiability"><span title="The material near this tag may rely on a self-published source. (February 2024)">self-published source?</span></a></i>&#93;</sup> </p><p>To simplify the design of complex systems in FPGAs, there exist libraries of predefined complex functions and circuits that have been tested and optimized to speed up the design process. These predefined circuits are commonly called <i><a href="/wiki/Semiconductor_intellectual_property_core" title="Semiconductor intellectual property core">intellectual property (IP) cores</a></i>, and are available from FPGA vendors and third-party IP suppliers. They are rarely free, and typically released under proprietary licenses. Other predefined circuits are available from developer communities such as <a href="/wiki/OpenCores" title="OpenCores">OpenCores</a> (typically released under <a href="/wiki/Free_and_open_source" class="mw-redirect" title="Free and open source">free and open source</a> licenses such as the <a href="/wiki/GPL" class="mw-redirect" title="GPL">GPL</a>, <a href="/wiki/BSD_license" class="mw-redirect" title="BSD license">BSD</a> or similar license). Such designs are known as <a href="/wiki/Open-source_hardware" title="Open-source hardware">open-source hardware</a>. </p><p>In a typical <a href="/wiki/Design_flow" class="mw-redirect" title="Design flow">design flow</a>, an FPGA application developer will simulate the design at multiple stages throughout the design process. Initially the <a href="/wiki/Register-transfer_level" title="Register-transfer level">RTL</a> description in <a href="/wiki/VHDL" title="VHDL">VHDL</a> or <a href="/wiki/Verilog" title="Verilog">Verilog</a> is simulated by creating <a href="/wiki/Test_bench" title="Test bench">test benches</a> to simulate the system and observe results. Then, after the <a href="/wiki/Logic_synthesis" title="Logic synthesis">synthesis</a> engine has mapped the design to a netlist, the netlist is translated to a <a href="/wiki/Logic_gate" title="Logic gate">gate-level</a> description where simulation is repeated to confirm the synthesis proceeded without errors. Finally, the design is laid out in the FPGA at which point <a href="/wiki/Propagation_delay" title="Propagation delay">propagation delay</a> values can be <a href="/wiki/Back_annotation" class="mw-redirect" title="Back annotation">back-annotated</a> onto the netlist, and the simulation can be run again with these values. </p><p>More recently, <a href="/wiki/OpenCL" title="OpenCL">OpenCL</a> (Open Computing Language) is being used by programmers to take advantage of the performance and power efficiencies that FPGAs provide. OpenCL allows programmers to develop code in the <a href="/wiki/C_programming_language" class="mw-redirect" title="C programming language">C programming language</a>.<sup id="cite_ref-35" class="reference"><a href="#cite_note-35"><span class="cite-bracket">&#91;</span>35<span class="cite-bracket">&#93;</span></a></sup> For further information, see <a href="/wiki/High-level_synthesis" title="High-level synthesis">high-level synthesis</a> and <a href="/wiki/C_to_HDL" title="C to HDL">C to HDL</a>. </p><p>Most FPGAs rely on an <a href="/wiki/Static_random-access_memory" title="Static random-access memory">SRAM</a>-based approach to be programmed. These FPGAs are in-system programmable and re-programmable, but require external boot devices. For example, <a href="/wiki/Flash_memory" title="Flash memory">flash memory</a> or <a href="/wiki/EEPROM" title="EEPROM">EEPROM</a> devices may load contents into internal SRAM that controls routing and logic. The SRAM approach is based on <a href="/wiki/CMOS" title="CMOS">CMOS</a>. </p><p>Rarer alternatives to the SRAM approach include: </p> <ul><li><a href="/wiki/Fuse_(electrical)" title="Fuse (electrical)">Fuse</a>: one-time programmable. Bipolar. Obsolete.</li> <li><a href="/wiki/Antifuse" title="Antifuse">Antifuse</a>: one-time programmable. CMOS. Examples: Actel SX and Axcelerator families; Quicklogic Eclipse II family.<sup id="cite_ref-EDN_36-0" class="reference"><a href="#cite_note-EDN-36"><span class="cite-bracket">&#91;</span>36<span class="cite-bracket">&#93;</span></a></sup></li> <li><a href="/wiki/Programmable_read-only_memory" class="mw-redirect" title="Programmable read-only memory">PROM</a>: programmable read-only memory technology. One-time programmable because of plastic packaging.<sup class="noprint Inline-Template" style="margin-left:0.1em; white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:Please_clarify" title="Wikipedia:Please clarify"><span title="What&#39;s the issue with plastic packages? (July 2024)">clarification needed</span></a></i>&#93;</sup> Obsolete.</li> <li><a href="/wiki/EPROM" title="EPROM">EPROM</a>: erasable programmable read-only memory technology. One-time programmable but with window, can be erased with ultraviolet (UV) light. CMOS. Obsolete.</li> <li><a href="/wiki/EEPROM" title="EEPROM">EEPROM</a>: electrically erasable programmable read-only memory technology. Can be erased, even in plastic packages. Some but not all EEPROM devices can be in-system programmed. CMOS.</li> <li><a href="/wiki/Flash_memory" title="Flash memory">Flash</a>: flash-erase EPROM technology. Can be erased, even in plastic packages. Some but not all flash devices can be in-system programmed. Usually, a flash cell is smaller than an equivalent EEPROM cell and is, therefore, less expensive to manufacture. CMOS. Example: Actel ProASIC family.<sup id="cite_ref-EDN_36-1" class="reference"><a href="#cite_note-EDN-36"><span class="cite-bracket">&#91;</span>36<span class="cite-bracket">&#93;</span></a></sup></li></ul> <div class="mw-heading mw-heading2"><h2 id="Manufacturers">Manufacturers</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=14" title="Edit section: Manufacturers"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>In 2016, long-time industry rivals <a href="/wiki/Xilinx" title="Xilinx">Xilinx</a> (now part of <a href="/wiki/AMD" title="AMD">AMD</a>) and <a href="/wiki/Altera" title="Altera">Altera</a> (now part of <a href="/wiki/Intel" title="Intel">İntel</a>) were the FPGA market leaders.<sup id="cite_ref-37" class="reference"><a href="#cite_note-37"><span class="cite-bracket">&#91;</span>37<span class="cite-bracket">&#93;</span></a></sup> At that time, they controlled nearly 90 percent of the market. </p><p>Both Xilinx (now AMD) and Altera (now Intel) provide <a href="/wiki/Proprietary_software" title="Proprietary software">proprietary</a> <a href="/wiki/Electronic_design_automation" title="Electronic design automation">electronic design automation</a> software for <a href="/wiki/Windows" class="mw-redirect" title="Windows">Windows</a> and <a href="/wiki/Linux" title="Linux">Linux</a> (<a href="/wiki/Xilinx_ISE" title="Xilinx ISE">ISE</a>/<a href="/wiki/Vivado" title="Vivado">Vivado</a> and <a href="/wiki/Intel_Quartus_Prime" title="Intel Quartus Prime">Quartus</a>) which enables engineers to <a href="/wiki/Hardware_design" class="mw-redirect" title="Hardware design">design</a>, analyze, <a href="/wiki/Simulate" class="mw-redirect" title="Simulate">simulate</a>, and <a href="/wiki/Logic_synthesis" title="Logic synthesis">synthesize</a> (<a href="/wiki/Compile" class="mw-redirect" title="Compile">compile</a>) their designs.<sup id="cite_ref-38" class="reference"><a href="#cite_note-38"><span class="cite-bracket">&#91;</span>38<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-39" class="reference"><a href="#cite_note-39"><span class="cite-bracket">&#91;</span>39<span class="cite-bracket">&#93;</span></a></sup> </p><p>In March 2010, <a href="/wiki/Tabula_(company)" class="mw-redirect" title="Tabula (company)">Tabula</a> announced their FPGA technology that uses <a href="/wiki/Time-division_multiplexing" title="Time-division multiplexing">time-multiplexed</a> logic and interconnect that claims potential cost savings for high-density applications.<sup id="cite_ref-40" class="reference"><a href="#cite_note-40"><span class="cite-bracket">&#91;</span>40<span class="cite-bracket">&#93;</span></a></sup> On March 24, 2015, Tabula officially shut down.<sup id="cite_ref-41" class="reference"><a href="#cite_note-41"><span class="cite-bracket">&#91;</span>41<span class="cite-bracket">&#93;</span></a></sup> </p><p>On June 1, 2015, Intel announced it would acquire Altera for approximately <a href="/wiki/US$" class="mw-redirect" title="US$">US$</a>16.7 billion and completed the acquisition on December 30, 2015.<sup id="cite_ref-42" class="reference"><a href="#cite_note-42"><span class="cite-bracket">&#91;</span>42<span class="cite-bracket">&#93;</span></a></sup> </p><p>On October 27, 2020, AMD announced it would acquire Xilinx<sup id="cite_ref-43" class="reference"><a href="#cite_note-43"><span class="cite-bracket">&#91;</span>43<span class="cite-bracket">&#93;</span></a></sup> and completed the acquisition valued at about US$50 billion in February 2022.<sup id="cite_ref-44" class="reference"><a href="#cite_note-44"><span class="cite-bracket">&#91;</span>44<span class="cite-bracket">&#93;</span></a></sup> </p><p>In February 2024 Altera became independent of Intel again.<sup id="cite_ref-Intel_Launches_Altera_45-0" class="reference"><a href="#cite_note-Intel_Launches_Altera-45"><span class="cite-bracket">&#91;</span>45<span class="cite-bracket">&#93;</span></a></sup> </p><p>Other manufacturers include: </p> <ul><li><a href="/wiki/Achronix" title="Achronix">Achronix</a>, manufacturing SRAM based FPGAs with 1.5&#160;GHz fabric speed<sup id="cite_ref-46" class="reference"><a href="#cite_note-46"><span class="cite-bracket">&#91;</span>46<span class="cite-bracket">&#93;</span></a></sup></li> <li><a href="/wiki/Altium" title="Altium">Altium</a>, provides system-on-FPGA hardware-software design environment.<sup id="cite_ref-47" class="reference"><a href="#cite_note-47"><span class="cite-bracket">&#91;</span>47<span class="cite-bracket">&#93;</span></a></sup></li> <li>Cologne Chip, German Government backed designer and producer of FPGAs<sup id="cite_ref-48" class="reference"><a href="#cite_note-48"><span class="cite-bracket">&#91;</span>48<span class="cite-bracket">&#93;</span></a></sup></li> <li><a href="/w/index.php?title=Efinix&amp;action=edit&amp;redlink=1" class="new" title="Efinix (page does not exist)">Efinix</a> offers small to medium-sized FPGAs. They combine logic and routing interconnects into a configurable XLR cell.<sup class="noprint Inline-Template Template-Fact" style="white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:Citation_needed" title="Wikipedia:Citation needed"><span title="This claim needs references to reliable sources. (September 2024)">citation needed</span></a></i>&#93;</sup></li> <li><a href="/w/index.php?title=GOWIN_Semiconductors&amp;action=edit&amp;redlink=1" class="new" title="GOWIN Semiconductors (page does not exist)">GOWIN Semiconductors</a>, manufacturing small and medium-sized SRAM and Flash-based FPGAs. They also offer pin-compatible replacements for a few Xilinx, Altera and Lattice products.<sup class="noprint Inline-Template Template-Fact" style="white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:Citation_needed" title="Wikipedia:Citation needed"><span title="This claim needs references to reliable sources. (September 2024)">citation needed</span></a></i>&#93;</sup></li> <li><a href="/wiki/Lattice_Semiconductor" title="Lattice Semiconductor">Lattice Semiconductor</a> manufactures <a href="/wiki/Low-power_electronics" title="Low-power electronics">low-power</a> SRAM-based FPGAs featuring integrated configuration flash, <a href="/wiki/Instant-on" title="Instant-on">instant-on</a> and live <a href="/wiki/Reconfigurable_computing" title="Reconfigurable computing">reconfiguration</a> <ul><li><a href="/wiki/SiliconBlue_Technologies" class="mw-redirect" title="SiliconBlue Technologies">SiliconBlue Technologies</a> provides extremely low-power SRAM-based FPGAs with optional integrated <a href="/wiki/Non-volatile_memory" title="Non-volatile memory">nonvolatile</a> configuration memory; acquired by Lattice in 2011</li></ul></li> <li><a href="/wiki/Microchip_Technology" title="Microchip Technology">Microchip</a>: <ul><li><a href="/wiki/Microsemi" title="Microsemi">Microsemi</a> (previously <a href="/wiki/Actel" title="Actel">Actel</a>), producing antifuse, flash-based, <a href="/wiki/Mixed-signal" class="mw-redirect" title="Mixed-signal">mixed-signal</a> FPGAs; acquired by Microchip in 2018</li> <li><a href="/wiki/Atmel" title="Atmel">Atmel</a>, a second source of some Altera-compatible devices; also FPSLIC<sup class="noprint Inline-Template" style="margin-left:0.1em; white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:Please_clarify" title="Wikipedia:Please clarify"><span title="(December 2018)">clarification needed</span></a></i>&#93;</sup> mentioned above;<sup id="cite_ref-49" class="reference"><a href="#cite_note-49"><span class="cite-bracket">&#91;</span>49<span class="cite-bracket">&#93;</span></a></sup> acquired by Microchip in 2016</li></ul></li> <li>QuickLogic manufactures ultra-low-power sensor hubs, extremely-low-powered, low-density SRAM-based FPGAs, with display bridges MIPI and RGB inputs; MIPI, RGB and LVDS outputs.<sup id="cite_ref-50" class="reference"><a href="#cite_note-50"><span class="cite-bracket">&#91;</span>50<span class="cite-bracket">&#93;</span></a></sup></li></ul> <div class="mw-heading mw-heading2"><h2 id="Applications">Applications</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=15" title="Edit section: Applications"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1236090951"><div role="note" class="hatnote navigation-not-searchable">See also: <a href="/wiki/Hardware_acceleration" title="Hardware acceleration">Hardware acceleration</a></div> <p>An FPGA can be used to solve any problem which is <a href="/wiki/Computable" class="mw-redirect" title="Computable">computable</a>. FPGAs can be used to implement a <a href="/wiki/Soft_microprocessor" title="Soft microprocessor">soft microprocessor</a>, such as the Xilinx <a href="/wiki/MicroBlaze" title="MicroBlaze">MicroBlaze</a> or Altera <a href="/wiki/Nios_II" title="Nios II">Nios II</a>. But their advantage lies in that they are significantly faster for some applications because of their <a href="/wiki/Parallel_computing" title="Parallel computing">parallel nature</a> and <a href="/wiki/Logic_optimization" title="Logic optimization">optimality</a> in terms of the number of gates used for certain processes.<sup id="cite_ref-Xilinx-Inc-Apr-2006-8-K_51-0" class="reference"><a href="#cite_note-Xilinx-Inc-Apr-2006-8-K-51"><span class="cite-bracket">&#91;</span>51<span class="cite-bracket">&#93;</span></a></sup> </p><p>FPGAs were originally introduced as competitors to <a href="/wiki/Complex_programmable_logic_device" title="Complex programmable logic device">CPLDs</a> to implement <a href="/wiki/Glue_logic" title="Glue logic">glue logic</a> for <a href="/wiki/Printed_circuit_board" title="Printed circuit board">printed circuit boards</a>. As their size, capabilities, and speed increased, FPGAs took over additional functions to the point where some are now marketed as full <a href="/wiki/Systems_on_chip" class="mw-redirect" title="Systems on chip">systems on chips</a> (SoCs). Particularly with the introduction of dedicated <a href="/wiki/Binary_multiplier" title="Binary multiplier">multipliers</a> into FPGA architectures in the late 1990s, applications that had traditionally been the sole reserve of <a href="/wiki/Digital_signal_processor" title="Digital signal processor">digital signal processors</a> (DSPs) began to use FPGAs instead.<sup id="cite_ref-52" class="reference"><a href="#cite_note-52"><span class="cite-bracket">&#91;</span>52<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-53" class="reference"><a href="#cite_note-53"><span class="cite-bracket">&#91;</span>53<span class="cite-bracket">&#93;</span></a></sup> </p><p>The evolution of FPGAs has motivated an increase in the use of these devices, whose architecture allows the development of hardware solutions optimized for complex tasks, such as 3D MRI image segmentation, 3D discrete wavelet transform, tomographic image reconstruction, or PET/MRI systems.<sup id="cite_ref-54" class="reference"><a href="#cite_note-54"><span class="cite-bracket">&#91;</span>54<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-55" class="reference"><a href="#cite_note-55"><span class="cite-bracket">&#91;</span>55<span class="cite-bracket">&#93;</span></a></sup> The developed solutions can perform intensive computation tasks with parallel processing, are dynamically reprogrammable, and have a low cost, all while meeting the hard real-time requirements associated with medical imaging. </p><p>Another trend in the use of FPGAs is <a href="/wiki/Hardware_acceleration" title="Hardware acceleration">hardware acceleration</a>, where one can use the FPGA to accelerate certain parts of an algorithm and share part of the computation between the FPGA and a general-purpose processor. The search engine <a href="/wiki/Bing_(search_engine)" class="mw-redirect" title="Bing (search engine)">Bing</a> is noted for adopting FPGA acceleration for its search algorithm in 2014.<sup id="cite_ref-BingFPGA_56-0" class="reference"><a href="#cite_note-BingFPGA-56"><span class="cite-bracket">&#91;</span>56<span class="cite-bracket">&#93;</span></a></sup> As of 2018<sup class="plainlinks noexcerpt noprint asof-tag update" style="display:none;"><a class="external text" href="https://en.wikipedia.org/w/index.php?title=Field-programmable_gate_array&amp;action=edit">&#91;update&#93;</a></sup>, FPGAs are seeing increased use as <a href="/wiki/AI_accelerator" title="AI accelerator">AI accelerators</a> including Microsoft's Project Catapult<sup id="cite_ref-ProjCatapult_11-1" class="reference"><a href="#cite_note-ProjCatapult-11"><span class="cite-bracket">&#91;</span>11<span class="cite-bracket">&#93;</span></a></sup> and for accelerating <a href="/wiki/Artificial_neural_network" class="mw-redirect" title="Artificial neural network">artificial neural networks</a> for <a href="/wiki/Machine_learning" title="Machine learning">machine learning</a> applications. </p><p>Traditionally,<sup class="noprint Inline-Template" style="white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:Manual_of_Style/Dates_and_numbers#Chronological_items" title="Wikipedia:Manual of Style/Dates and numbers"><span title="The time period mentioned near this tag is ambiguous. (October 2018)">when?</span></a></i>&#93;</sup> FPGAs have been reserved for specific <a href="/wiki/Vertical_application" class="mw-redirect" title="Vertical application">vertical applications</a> where the volume of production is small. For these low-volume applications, the premium that companies pay in hardware cost per unit for a programmable chip is more affordable than the development resources spent on creating an ASIC. As of 2017<sup class="plainlinks noexcerpt noprint asof-tag update" style="display:none;"><a class="external text" href="https://en.wikipedia.org/w/index.php?title=Field-programmable_gate_array&amp;action=edit">&#91;update&#93;</a></sup>, new cost and performance dynamics have broadened the range of viable applications. </p><p>Where personal computer peripherals exist in niche markets or are struggling to make inroads into a mass market (sometimes despite heavy promotion), it can be more cost-effective to utilise FPGAs for small production runs (e.g. 1,000 units). Examples include exotic products such as e.g. <a href="/wiki/ArVid" title="ArVid">ArVid</a>, a VHS tape archiver (only some versions of which were FPGA-based) and <a href="/wiki/Gigabyte_Technology" title="Gigabyte Technology">Gigabyte Technology</a>'s <a href="/wiki/I-RAM" title="I-RAM">i-RAM</a> budget pseudo-<a href="/wiki/SSD_drive" class="mw-redirect" title="SSD drive">SSD drive</a>, which used a Xilinx FPGA.<sup id="cite_ref-57" class="reference"><a href="#cite_note-57"><span class="cite-bracket">&#91;</span>57<span class="cite-bracket">&#93;</span></a></sup> Often a custom-made chip would be cheaper if made in larger quantities, but FPGAs may be chosen to quickly bring a product to market. Again, to the extent the availability of lower-cost FPGAs is increasing, it can become justifiable to include them even in larger production runs. </p><p>Other uses for FPGAs include: </p> <ul><li>Space (with <a href="/wiki/Radiation_hardening" title="Radiation hardening">radiation hardening</a><sup id="cite_ref-58" class="reference"><a href="#cite_note-58"><span class="cite-bracket">&#91;</span>58<span class="cite-bracket">&#93;</span></a></sup>)</li> <li><a href="/wiki/Hardware_security_module" title="Hardware security module">Hardware security modules</a><sup id="cite_ref-auto_59-0" class="reference"><a href="#cite_note-auto-59"><span class="cite-bracket">&#91;</span>59<span class="cite-bracket">&#93;</span></a></sup></li> <li>High-speed financial transactions<sup id="cite_ref-60" class="reference"><a href="#cite_note-60"><span class="cite-bracket">&#91;</span>60<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-61" class="reference"><a href="#cite_note-61"><span class="cite-bracket">&#91;</span>61<span class="cite-bracket">&#93;</span></a></sup></li> <li><a href="/wiki/Retrocomputing" title="Retrocomputing">Retrocomputing</a> (e.g. the MARS and <a href="/wiki/MiSTer" title="MiSTer">MiSTer</a> FPGA projects)<sup class="noprint Inline-Template Template-Fact" style="white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:Citation_needed" title="Wikipedia:Citation needed"><span title="This claim needs references to reliable sources. (December 2023)">citation needed</span></a></i>&#93;</sup></li></ul> <div class="mw-heading mw-heading3"><h3 id="Usage_by_United_States_Military">Usage by United States Military</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=16" title="Edit section: Usage by United States Military"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>FPGAs play a crucial role in modern military communications, especially in systems like the <a href="/wiki/Joint_Tactical_Radio_System" title="Joint Tactical Radio System">Joint Tactical Radio System</a> (JTRS) and in devices from companies such as <a href="/wiki/Thales_Group" title="Thales Group">Thales</a> and <a href="/wiki/Harris_Corporation" title="Harris Corporation">Harris Corporation</a>. Their flexibility and programmability make them ideal for military communications, offering customizable and secure signal processing. In the JTRS, used by the US military, FPGAs provide adaptability and real-time processing, crucial for meeting various communication standards and encryption methods. Thales leverages FPGA technology in designing communication devices that fulfill the rigorous demands of military use, including rapid reconfiguration and robust security. Similarly, Harris Corporation, now part of <a href="/wiki/L3Harris_Technologies" class="mw-redirect" title="L3Harris Technologies">L3Harris Technologies</a>, incorporates FPGAs in its defense and commercial communication solutions, enhancing signal processing and system security.<sup id="cite_ref-62" class="reference"><a href="#cite_note-62"><span class="cite-bracket">&#91;</span>62<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading4"><h4 id="L3Harris">L3Harris</h4><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=17" title="Edit section: L3Harris"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <ol><li><b>Rapidly Adaptable Standards-compliant Radio (RASOR):</b> A Modular Open System Approach (MOSA) solution supporting over 50 data links and waveforms.</li> <li><b>ASPEN Technology Platform:</b> Consists of proven hardware modules with programmable software and FPGA options for advanced, configurable data links.</li> <li><b><a href="/wiki/AN/PRC-117" title="AN/PRC-117">AN/PRC-117F(C)</a> Radios:</b> Supported the <a href="/wiki/Electronic_Systems_Center" title="Electronic Systems Center">U.S. Air Force Electronic Systems Command</a>, strengthening Harris' role as a full-spectrum communications system supplier.</li></ol> <div class="mw-heading mw-heading4"><h4 id="Thales">Thales</h4><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=18" title="Edit section: Thales"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <ol><li><b>SYNAPS Radio Family:</b> Utilizes Software Defined Radio (SDR) technology, typically involving FPGA for enhanced flexibility and performance.</li> <li><b><a href="/wiki/AN/PRC-148" title="AN/PRC-148">AN/PRC-148</a> (Multiband Inter/Intra Team Radio - MBITR):</b> A small-form-factor, multiband, multi-mode SDR used in Afghanistan and Iraq.</li> <li><b><a href="/wiki/JTRS" class="mw-redirect" title="JTRS">JTRS</a> Cluster 2 Handheld Radio:</b> Currently in development, recently completed a successful early operational assessment.</li></ol> <div class="mw-heading mw-heading2"><h2 id="Security">Security</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=19" title="Edit section: Security"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>FPGAs have both advantages and disadvantages as compared to ASICs or secure microprocessors, concerning <a href="/wiki/Hardware_security" title="Hardware security">hardware security</a>. FPGAs' flexibility makes malicious modifications during <a href="/wiki/Semiconductor_device_fabrication" title="Semiconductor device fabrication">fabrication</a> a lower risk.<sup id="cite_ref-paper_63-0" class="reference"><a href="#cite_note-paper-63"><span class="cite-bracket">&#91;</span>63<span class="cite-bracket">&#93;</span></a></sup> Previously, for many FPGAs, the design <a href="/wiki/Bitstream" title="Bitstream">bitstream</a> was exposed while the FPGA loads it from external memory (typically on every power-on). All major FPGA vendors now offer a spectrum of security solutions to designers such as bitstream <a href="/wiki/Encryption" title="Encryption">encryption</a> and <a href="/wiki/Authentication" title="Authentication">authentication</a>. For example, <a href="/wiki/Altera" title="Altera">Altera</a> and <a href="/wiki/Xilinx" title="Xilinx">Xilinx</a> offer <a href="/wiki/Advanced_Encryption_Standard" title="Advanced Encryption Standard">AES</a> encryption (up to 256-bit) for bitstreams stored in an external flash memory. <a href="/wiki/Physical_unclonable_function" title="Physical unclonable function">Physical unclonable functions</a> (PUFs) are integrated circuits that have their own unique signatures, due to processing, and can also be used to secure FPGAs while taking up very little hardware space.<sup id="cite_ref-64" class="reference"><a href="#cite_note-64"><span class="cite-bracket">&#91;</span>64<span class="cite-bracket">&#93;</span></a></sup> </p><p>FPGAs that store their configuration internally in nonvolatile flash memory, such as <a href="/wiki/Microsemi" title="Microsemi">Microsemi</a>'s ProAsic 3 or <a href="/wiki/Lattice_Semiconductor" title="Lattice Semiconductor">Lattice</a>'s XP2 programmable devices, do not expose the bitstream and do not need <a href="/wiki/Encryption" title="Encryption">encryption</a>. In addition, flash memory for a <a href="/wiki/Lookup_table" title="Lookup table">lookup table</a> provides <a href="/wiki/Single_event_upset" class="mw-redirect" title="Single event upset">single event upset</a> protection for space applications.<sup class="noprint Inline-Template" style="margin-left:0.1em; white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:Please_clarify" title="Wikipedia:Please clarify"><span title="The text near this tag may need clarification or removal of jargon. (January 2013)">clarification needed</span></a></i>&#93;</sup> Customers wanting a higher guarantee of tamper resistance can use write-once, antifuse FPGAs from vendors such as <a href="/wiki/Microsemi" title="Microsemi">Microsemi</a>. </p><p>With its Stratix 10 FPGAs and SoCs, <a href="/wiki/Altera" title="Altera">Altera</a> introduced a Secure Device Manager and <a href="/wiki/Physical_unclonable_function" title="Physical unclonable function">physical unclonable functions</a> to provide high levels of protection against physical attacks.<sup id="cite_ref-65" class="reference"><a href="#cite_note-65"><span class="cite-bracket">&#91;</span>65<span class="cite-bracket">&#93;</span></a></sup> </p><p>In 2012 researchers Sergei Skorobogatov and Christopher Woods demonstrated that some FPGAs can be vulnerable to hostile intent. They discovered a critical <a href="/wiki/Backdoor_(computing)" title="Backdoor (computing)">backdoor</a> <a href="/wiki/Vulnerability_(computing)" class="mw-redirect" title="Vulnerability (computing)">vulnerability</a> had been manufactured in silicon as part of the Actel/Microsemi ProAsic 3 making it vulnerable on many levels such as reprogramming crypto and <a href="/wiki/Access_key" title="Access key">access keys</a>, accessing unencrypted bitstream, modifying <a href="/wiki/Low-level" class="mw-redirect" title="Low-level">low-level</a> silicon features, and extracting <a href="/wiki/Computer_configuration" title="Computer configuration">configuration</a> data.<sup id="cite_ref-66" class="reference"><a href="#cite_note-66"><span class="cite-bracket">&#91;</span>66<span class="cite-bracket">&#93;</span></a></sup> </p><p>In 2020 a critical vulnerability (named "Starbleed") was discovered in all Xilinx 7series FPGAs that rendered bitstream encryption useless. There is no workaround. Xilinx did not produce a hardware revision. Ultrascale and later devices, already on the market at the time, were not affected. </p> <div class="mw-heading mw-heading2"><h2 id="Similar_technologies">Similar technologies</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=20" title="Edit section: Similar technologies"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>Historically, FPGAs have been slower, less energy efficient and generally achieved less functionality than their fixed ASIC counterparts. A study from 2006 showed that designs implemented on FPGAs need on average 40 times as much area, draw 12 times as much dynamic power, and run at one third the speed of corresponding ASIC implementations.<sup id="cite_ref-FPGA-ASIC-comparison_67-0" class="reference"><a href="#cite_note-FPGA-ASIC-comparison-67"><span class="cite-bracket">&#91;</span>67<span class="cite-bracket">&#93;</span></a></sup> </p><p>Advantages of FPGAs include the ability to re-program when already deployed (i.e. "in the field") to fix <a href="/wiki/Bug_(computer_programming)" class="mw-redirect" title="Bug (computer programming)">bugs</a>, and often include shorter <a href="/wiki/Time_to_market" title="Time to market">time to market</a> and lower <a href="/wiki/Non-recurring_engineering" title="Non-recurring engineering">non-recurring engineering</a> costs. Vendors can also take a middle road via <a href="/wiki/FPGA_prototyping" title="FPGA prototyping">FPGA prototyping</a>: developing their prototype hardware on FPGAs, but manufacture their final version as an ASIC so that it can no longer be modified after the design has been committed. This is often also the case with new processor designs.<sup id="cite_ref-68" class="reference"><a href="#cite_note-68"><span class="cite-bracket">&#91;</span>68<span class="cite-bracket">&#93;</span></a></sup> Some FPGAs have the capability of <a href="/wiki/Partial_re-configuration" class="mw-redirect" title="Partial re-configuration">partial re-configuration</a> that lets one portion of the device be re-programmed while other portions continue running.<sup id="cite_ref-69" class="reference"><a href="#cite_note-69"><span class="cite-bracket">&#91;</span>69<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-70" class="reference"><a href="#cite_note-70"><span class="cite-bracket">&#91;</span>70<span class="cite-bracket">&#93;</span></a></sup> </p><p>The primary differences between <a href="/wiki/Complex_programmable_logic_device" title="Complex programmable logic device">complex programmable logic devices</a> (CPLDs) and FPGAs are <a href="/wiki/Computer_architecture" title="Computer architecture">architectural</a>. A CPLD has a comparatively restrictive structure consisting of one or more programmable <a href="/wiki/Canonical_normal_form" title="Canonical normal form">sum-of-products</a> logic arrays feeding a relatively small number of clocked <a href="/wiki/Register_(computing)" class="mw-redirect" title="Register (computing)">registers</a>. As a result, CPLDs are less flexible but have the advantage of more predictable <a href="/wiki/Latency_(engineering)" title="Latency (engineering)">timing delays</a> and <span class="citation-needed-content" style="padding-left:0.1em; padding-right:0.1em; color:var(--color-subtle, #54595d); border:1px solid var(--border-color-subtle, #c8ccd1);">a higher logic-to-interconnect ratio.</span><sup class="noprint Inline-Template Template-Fact" style="margin-left:0.1em; white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:Citation_needed" title="Wikipedia:Citation needed"><span title="(December 2018)">citation needed</span></a></i>&#93;</sup> FPGA architectures, on the other hand, are dominated by <a href="/wiki/Communications_subsystem" class="mw-redirect" title="Communications subsystem">interconnect</a>. This makes them far more flexible (in terms of the range of designs that are practical for implementation on them) but also far more complex to design for, or at least requiring more complex <a href="/wiki/Electronic_design_automation" title="Electronic design automation">electronic design automation</a> (EDA) software. In practice, the distinction between FPGAs and CPLDs is often one of size as FPGAs are usually much larger in terms of resources than CPLDs. Typically only FPGAs contain more complex <a href="/wiki/Functional_unit" class="mw-redirect" title="Functional unit">embedded functions</a> such as <a href="/wiki/Adder_(electronics)" title="Adder (electronics)">adders</a>, <a href="/wiki/Binary_multiplier" title="Binary multiplier">multipliers</a>, <a href="/wiki/Computer_memory" title="Computer memory">memory</a>, and <a href="/wiki/SerDes" title="SerDes">serializer/deserializers</a>. Another common distinction is that CPLDs contain embedded <a href="/wiki/Flash_memory" title="Flash memory">flash memory</a> to store their configuration while FPGAs usually require external <a href="/wiki/Non-volatile_memory" title="Non-volatile memory">non-volatile memory</a> (but not always). When a design requires simple instant-on <a href="/wiki/Glue_logic" title="Glue logic">(logic is already configured at power-up)</a> CPLDs are generally preferred. For most other applications FPGAs are generally preferred. Sometimes both CPLDs and FPGAs are used in a single system design. In those designs, CPLDs generally perform glue logic functions and are responsible for "<a href="/wiki/Booting" title="Booting">booting</a>" the FPGA as well as controlling <a href="/wiki/Reset_(computing)" title="Reset (computing)">reset</a> and boot sequence of the complete circuit board. Therefore, depending on the application it may be judicious to use both FPGAs and CPLDs in a single design.<sup id="cite_ref-71" class="reference"><a href="#cite_note-71"><span class="cite-bracket">&#91;</span>71<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="See_also">See also</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=21" title="Edit section: See also"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <style data-mw-deduplicate="TemplateStyles:r1239009302">.mw-parser-output .portalbox{padding:0;margin:0.5em 0;display:table;box-sizing:border-box;max-width:175px;list-style:none}.mw-parser-output .portalborder{border:1px solid var(--border-color-base,#a2a9b1);padding:0.1em;background:var(--background-color-neutral-subtle,#f8f9fa)}.mw-parser-output .portalbox-entry{display:table-row;font-size:85%;line-height:110%;height:1.9em;font-style:italic;font-weight:bold}.mw-parser-output .portalbox-image{display:table-cell;padding:0.2em;vertical-align:middle;text-align:center}.mw-parser-output .portalbox-link{display:table-cell;padding:0.2em 0.2em 0.2em 0.3em;vertical-align:middle}@media(min-width:720px){.mw-parser-output .portalleft{clear:left;float:left;margin:0.5em 1em 0.5em 0}.mw-parser-output .portalright{clear:right;float:right;margin:0.5em 0 0.5em 1em}}</style><ul role="navigation" aria-label="Portals" class="noprint portalbox portalborder portalright"> <li class="portalbox-entry"><span class="portalbox-image"><span class="noviewer" typeof="mw:File"><a href="/wiki/File:Nuvola_apps_ksim.png" class="mw-file-description"><img alt="icon" src="//upload.wikimedia.org/wikipedia/commons/thumb/8/8d/Nuvola_apps_ksim.png/28px-Nuvola_apps_ksim.png" decoding="async" width="28" height="28" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/8/8d/Nuvola_apps_ksim.png/42px-Nuvola_apps_ksim.png 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/8/8d/Nuvola_apps_ksim.png/56px-Nuvola_apps_ksim.png 2x" data-file-width="128" data-file-height="128" /></a></span></span><span class="portalbox-link"><a href="/wiki/Portal:Electronics" title="Portal:Electronics">Electronics portal</a></span></li></ul> <ul><li><a href="/wiki/FPGA_Mezzanine_Card" title="FPGA Mezzanine Card">FPGA Mezzanine Card</a></li> <li><a href="/wiki/CRUVI_FPGA_Card" title="CRUVI FPGA Card">CRUVI FPGA daughtercard standard</a></li> <li><a href="/wiki/List_of_HDL_simulators" title="List of HDL simulators">List of HDL simulators</a></li></ul> <div class="mw-heading mw-heading2"><h2 id="References">References</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=22" title="Edit section: References"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <style data-mw-deduplicate="TemplateStyles:r1239543626">.mw-parser-output .reflist{margin-bottom:0.5em;list-style-type:decimal}@media screen{.mw-parser-output .reflist{font-size:90%}}.mw-parser-output .reflist .references{font-size:100%;margin-bottom:0;list-style-type:inherit}.mw-parser-output .reflist-columns-2{column-width:30em}.mw-parser-output .reflist-columns-3{column-width:25em}.mw-parser-output .reflist-columns{margin-top:0.3em}.mw-parser-output .reflist-columns ol{margin-top:0}.mw-parser-output .reflist-columns li{page-break-inside:avoid;break-inside:avoid-column}.mw-parser-output .reflist-upper-alpha{list-style-type:upper-alpha}.mw-parser-output .reflist-upper-roman{list-style-type:upper-roman}.mw-parser-output .reflist-lower-alpha{list-style-type:lower-alpha}.mw-parser-output .reflist-lower-greek{list-style-type:lower-greek}.mw-parser-output .reflist-lower-roman{list-style-type:lower-roman}</style><div class="reflist reflist-columns references-column-width" style="column-width: 30em;"> <ol class="references"> <li id="cite_note-FPGA-1"><span class="mw-cite-backlink">^ <a href="#cite_ref-FPGA_1-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-FPGA_1-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-FPGA_1-2"><sup><i><b>c</b></i></sup></a></span> <span class="reference-text"><style data-mw-deduplicate="TemplateStyles:r1238218222">.mw-parser-output cite.citation{font-style:inherit;word-wrap:break-word}.mw-parser-output .citation q{quotes:"\"""\"""'""'"}.mw-parser-output .citation:target{background-color:rgba(0,127,255,0.133)}.mw-parser-output .id-lock-free.id-lock-free a{background:url("//upload.wikimedia.org/wikipedia/commons/6/65/Lock-green.svg")right 0.1em center/9px no-repeat}.mw-parser-output .id-lock-limited.id-lock-limited a,.mw-parser-output .id-lock-registration.id-lock-registration a{background:url("//upload.wikimedia.org/wikipedia/commons/d/d6/Lock-gray-alt-2.svg")right 0.1em center/9px no-repeat}.mw-parser-output .id-lock-subscription.id-lock-subscription a{background:url("//upload.wikimedia.org/wikipedia/commons/a/aa/Lock-red-alt-2.svg")right 0.1em center/9px no-repeat}.mw-parser-output .cs1-ws-icon a{background:url("//upload.wikimedia.org/wikipedia/commons/4/4c/Wikisource-logo.svg")right 0.1em center/12px no-repeat}body:not(.skin-timeless):not(.skin-minerva) .mw-parser-output .id-lock-free a,body:not(.skin-timeless):not(.skin-minerva) .mw-parser-output .id-lock-limited a,body:not(.skin-timeless):not(.skin-minerva) .mw-parser-output .id-lock-registration a,body:not(.skin-timeless):not(.skin-minerva) .mw-parser-output .id-lock-subscription a,body:not(.skin-timeless):not(.skin-minerva) .mw-parser-output .cs1-ws-icon a{background-size:contain;padding:0 1em 0 0}.mw-parser-output .cs1-code{color:inherit;background:inherit;border:none;padding:inherit}.mw-parser-output .cs1-hidden-error{display:none;color:var(--color-error,#d33)}.mw-parser-output .cs1-visible-error{color:var(--color-error,#d33)}.mw-parser-output .cs1-maint{display:none;color:#085;margin-left:0.3em}.mw-parser-output .cs1-kern-left{padding-left:0.2em}.mw-parser-output .cs1-kern-right{padding-right:0.2em}.mw-parser-output .citation .mw-selflink{font-weight:inherit}@media screen{.mw-parser-output .cs1-format{font-size:95%}html.skin-theme-clientpref-night .mw-parser-output .cs1-maint{color:#18911f}}@media screen and (prefers-color-scheme:dark){html.skin-theme-clientpref-os .mw-parser-output .cs1-maint{color:#18911f}}</style><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.eecg.toronto.edu/~vaughn/challenge/fpga_arch.html">"FPGA Architecture for the Challenge"</a>. <i>toronto.edu</i>. <a href="/wiki/University_of_Toronto" title="University of Toronto">University of Toronto</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=toronto.edu&amp;rft.atitle=FPGA+Architecture+for+the+Challenge&amp;rft_id=http%3A%2F%2Fwww.eecg.toronto.edu%2F~vaughn%2Fchallenge%2Ffpga_arch.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-2"><span class="mw-cite-backlink"><b><a href="#cite_ref-2">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFSimpson2015" class="citation book cs1">Simpson, P. A. (2015). <i>FPGA Design, Best Practices for Team Based Reuse, 2nd edition</i>. Switzerland: Springer International Publishing AG. p.&#160;16. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/978-3-319-17924-7" title="Special:BookSources/978-3-319-17924-7"><bdi>978-3-319-17924-7</bdi></a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=book&amp;rft.btitle=FPGA+Design%2C+Best+Practices+for+Team+Based+Reuse%2C+2nd+edition&amp;rft.place=Switzerland&amp;rft.pages=16&amp;rft.pub=Springer+International+Publishing+AG&amp;rft.date=2015&amp;rft.isbn=978-3-319-17924-7&amp;rft.aulast=Simpson&amp;rft.aufirst=P.+A.&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-history-3"><span class="mw-cite-backlink">^ <a href="#cite_ref-history_3-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-history_3-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-history_3-2"><sup><i><b>c</b></i></sup></a> <a href="#cite_ref-history_3-3"><sup><i><b>d</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20070412183416/http://filebox.vt.edu/users/tmagin/history.htm">"History of FPGAs"</a>. Archived from <a rel="nofollow" class="external text" href="http://filebox.vt.edu/users/tmagin/history.htm">the original</a> on April 12, 2007<span class="reference-accessdate">. Retrieved <span class="nowrap">2013-07-11</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=History+of+FPGAs&amp;rft_id=http%3A%2F%2Ffilebox.vt.edu%2Fusers%2Ftmagin%2Fhistory.htm&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-4"><span class="mw-cite-backlink"><b><a href="#cite_ref-4">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFRon_Wilson2015" class="citation web cs1">Ron Wilson (21 April 2015). <a rel="nofollow" class="external text" href="https://web.archive.org/web/20150421045728/https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html">"In the Beginning"</a>. <i>altera.com</i>. Archived from <a rel="nofollow" class="external text" href="https://www.altera.com/solutions/technology/system-design/articles/_2013/in-the-beginning.html">the original</a> on 2015-04-21.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=altera.com&amp;rft.atitle=In+the+Beginning&amp;rft.date=2015-04-21&amp;rft.au=Ron+Wilson&amp;rft_id=https%3A%2F%2Fwww.altera.com%2Fsolutions%2Ftechnology%2Fsystem-design%2Farticles%2F_2013%2Fin-the-beginning.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-:0-5"><span class="mw-cite-backlink">^ <a href="#cite_ref-:0_5-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-:0_5-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.xilinx.com/publications/archives/xcell/Xcell32.pdf">"XCELL issue 32"</a> <span class="cs1-format">(PDF)</span>. Xilinx. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20110107140043/http://www.xilinx.com/publications/archives/xcell/Xcell32.pdf">Archived</a> <span class="cs1-format">(PDF)</span> from the original on 2011-01-07.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=XCELL+issue+32&amp;rft.pub=Xilinx&amp;rft_id=http%3A%2F%2Fwww.xilinx.com%2Fpublications%2Farchives%2Fxcell%2FXcell32.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-four-6"><span class="mw-cite-backlink">^ <a href="#cite_ref-four_6-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-four_6-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-four_6-2"><sup><i><b>c</b></i></sup></a> <a href="#cite_ref-four_6-3"><sup><i><b>d</b></i></sup></a> <a href="#cite_ref-four_6-4"><sup><i><b>e</b></i></sup></a> <a href="#cite_ref-four_6-5"><sup><i><b>f</b></i></sup></a></span> <span class="reference-text">Funding Universe. "<a rel="nofollow" class="external text" href="http://www.fundinguniverse.com/company-histories/Xilinx-Inc-Company-History.html">Xilinx, Inc.</a>" Retrieved January 15, 2009.</span> </li> <li id="cite_note-clive-7"><span class="mw-cite-backlink"><b><a href="#cite_ref-clive_7-0">^</a></b></span> <span class="reference-text">Clive Maxfield, Programmable Logic DesignLine, "<a rel="nofollow" class="external text" href="http://www.pldesignline.com/products/187203173">Xilinx unveil revolutionary 65nm FPGA architecture: the Virtex-5 family</a> <a rel="nofollow" class="external text" href="https://web.archive.org/web/20091225212024/http://www.pldesignline.com/products/187203173">Archived</a> 2009-12-25 at the <a href="/wiki/Wayback_Machine" title="Wayback Machine">Wayback Machine</a>. May 15, 2006. Retrieved February 5, 2009.</span> </li> <li id="cite_note-Maxfield-8"><span class="mw-cite-backlink">^ <a href="#cite_ref-Maxfield_8-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-Maxfield_8-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFMaxfield2004" class="citation book cs1">Maxfield, Clive (2004). <a rel="nofollow" class="external text" href="https://books.google.com/books?id=ZOadcQAACAAJ&amp;pg=PA4"><i>The Design Warrior's Guide to FPGAs: Devices, Tools and Flows</i></a>. Elsevier. p.&#160;4. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/978-0-7506-7604-5" title="Special:BookSources/978-0-7506-7604-5"><bdi>978-0-7506-7604-5</bdi></a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=book&amp;rft.btitle=The+Design+Warrior%27s+Guide+to+FPGAs%3A+Devices%2C+Tools+and+Flows&amp;rft.pages=4&amp;rft.pub=Elsevier&amp;rft.date=2004&amp;rft.isbn=978-0-7506-7604-5&amp;rft.aulast=Maxfield&amp;rft.aufirst=Clive&amp;rft_id=https%3A%2F%2Fbooks.google.com%2Fbooks%3Fid%3DZOadcQAACAAJ%26pg%3DPA4&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-9"><span class="mw-cite-backlink"><b><a href="#cite_ref-9">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20150709173535/http://sourcetech411.com/2013/04/top-fpga-companies-for-2013/">"Top FPGA Companies For 2013"</a>. <i>sourcetech411.com</i>. 2013-04-28. Archived from <a rel="nofollow" class="external text" href="http://sourcetech411.com/2013/04/top-fpga-companies-for-2013/">the original</a> on 2015-07-09<span class="reference-accessdate">. Retrieved <span class="nowrap">2015-07-08</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=sourcetech411.com&amp;rft.atitle=Top+FPGA+Companies+For+2013&amp;rft.date=2013-04-28&amp;rft_id=http%3A%2F%2Fsourcetech411.com%2F2013%2F04%2Ftop-fpga-companies-for-2013%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-10"><span class="mw-cite-backlink"><b><a href="#cite_ref-10">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation magazine cs1"><a rel="nofollow" class="external text" href="https://www.wired.com/2014/06/microsoft-fpga/">"Microsoft Supercharges Bing Search With Programmable Chips"</a>. <i>WIRED</i>. 16 June 2014.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=WIRED&amp;rft.atitle=Microsoft+Supercharges+Bing+Search+With+Programmable+Chips&amp;rft.date=2014-06-16&amp;rft_id=https%3A%2F%2Fwww.wired.com%2F2014%2F06%2Fmicrosoft-fpga%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-ProjCatapult-11"><span class="mw-cite-backlink">^ <a href="#cite_ref-ProjCatapult_11-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-ProjCatapult_11-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.microsoft.com/en-us/research/project/project-catapult/">"Project Catapult"</a>. <i>Microsoft Research</i>. July 2018.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Microsoft+Research&amp;rft.atitle=Project+Catapult&amp;rft.date=2018-07&amp;rft_id=https%3A%2F%2Fwww.microsoft.com%2Fen-us%2Fresearch%2Fproject%2Fproject-catapult%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-gates2013-12"><span class="mw-cite-backlink"><b><a href="#cite_ref-gates2013_12-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFMaxfield" class="citation web cs1">Maxfield, Max. <a rel="nofollow" class="external text" href="https://www.eetimes.com/document.asp?doc_id=1320345">"Xilinx UltraScale FPGA Offers 50 Million Equivalent ASIC Gates"</a>. <i>www.eetimes.com</i>. EE Times.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.eetimes.com&amp;rft.atitle=Xilinx+UltraScale+FPGA+Offers+50+Million+Equivalent+ASIC+Gates&amp;rft.aulast=Maxfield&amp;rft.aufirst=Max&amp;rft_id=https%3A%2F%2Fwww.eetimes.com%2Fdocument.asp%3Fdoc_id%3D1320345&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-instat-13"><span class="mw-cite-backlink">^ <a href="#cite_ref-instat_13-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-instat_13-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">Dylan McGrath, <i>EE Times</i>, "<a rel="nofollow" class="external text" href="http://www.eetimes.com/news/design/business/showArticle.jhtml?articleID=188102617">FPGA Market to Pass $2.7 Billion by '10, In-Stat Says</a>". May 24, 2006. Retrieved February 5, 2009.</span> </li> <li id="cite_note-grandviewresearch.com-14"><span class="mw-cite-backlink">^ <a href="#cite_ref-grandviewresearch.com_14-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-grandviewresearch.com_14-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.grandviewresearch.com/industry-analysis/fpga-market">"Global FPGA Market Analysis And Segment Forecasts To 2020 – FPGA Industry, Outlook, Size, Application, Product, Share, Growth Prospects, Key Opportunities, Dynamics, Trends, Analysis, FPGA Report – Grand View Research Inc"</a>. <i>grandviewresearch.com</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=grandviewresearch.com&amp;rft.atitle=Global+FPGA+Market+Analysis+And+Segment+Forecasts+To+2020+%E2%80%93+FPGA+Industry%2C+Outlook%2C+Size%2C+Application%2C+Product%2C+Share%2C+Growth+Prospects%2C+Key+Opportunities%2C+Dynamics%2C+Trends%2C+Analysis%2C+FPGA+Report+%E2%80%93+Grand+View+Research+Inc&amp;rft_id=http%3A%2F%2Fwww.grandviewresearch.com%2Findustry-analysis%2Ffpga-market&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-15"><span class="mw-cite-backlink"><b><a href="#cite_ref-15">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.grandviewresearch.com/press-release/global-fpga-market">"Field Programmable Gate Array Market To Reach $23.34Bn By 2030"</a>. <i>www.grandviewresearch.com</i><span class="reference-accessdate">. Retrieved <span class="nowrap">2024-04-25</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.grandviewresearch.com&amp;rft.atitle=Field+Programmable+Gate+Array+Market+To+Reach+%2423.34Bn+By+2030&amp;rft_id=https%3A%2F%2Fwww.grandviewresearch.com%2Fpress-release%2Fglobal-fpga-market&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-designstarts-16"><span class="mw-cite-backlink"><b><a href="#cite_ref-designstarts_16-0">^</a></b></span> <span class="reference-text">Dylan McGrath, <i>EE Times</i>, "<a rel="nofollow" class="external text" href="http://www.eetimes.com/conf/dac/showArticle.jhtml?articleID=164302400">Gartner Dataquest Analyst Gives ASIC, FPGA Markets Clean Bill of Health</a>". June 13, 2005. Retrieved February 5, 2009.</span> </li> <li id="cite_note-eweekly-17"><span class="mw-cite-backlink"><b><a href="#cite_ref-eweekly_17-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.xilinx.com/support/documentation/data_sheets/ds112.pdf">"Virtex-4 Family Overview"</a> <span class="cs1-format">(PDF)</span>. <i>xilinx.com</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20071122080100/http://www.xilinx.com/support/documentation/data_sheets/ds112.pdf">Archived</a> <span class="cs1-format">(PDF)</span> from the original on 2007-11-22<span class="reference-accessdate">. Retrieved <span class="nowrap">14 April</span> 2018</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=xilinx.com&amp;rft.atitle=Virtex-4+Family+Overview&amp;rft_id=http%3A%2F%2Fwww.xilinx.com%2Fsupport%2Fdocumentation%2Fdata_sheets%2Fds112.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-18"><span class="mw-cite-backlink"><b><a href="#cite_ref-18">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFWisniewski2009" class="citation book cs1">Wisniewski, Remigiusz (2009). <a rel="nofollow" class="external text" href="http://zbc.uz.zgora.pl/Content/27955"><i>Synthesis of compositional microprogram control units for programmable devices</i></a>. Zielona Góra: University of Zielona Góra. p.&#160;153. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/978-83-7481-293-1" title="Special:BookSources/978-83-7481-293-1"><bdi>978-83-7481-293-1</bdi></a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=book&amp;rft.btitle=Synthesis+of+compositional+microprogram+control+units+for+programmable+devices&amp;rft.place=Zielona+G%C3%B3ra&amp;rft.pages=153&amp;rft.pub=University+of+Zielona+G%C3%B3ra&amp;rft.date=2009&amp;rft.isbn=978-83-7481-293-1&amp;rft.aulast=Wisniewski&amp;rft.aufirst=Remigiusz&amp;rft_id=http%3A%2F%2Fzbc.uz.zgora.pl%2FContent%2F27955&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span><sup class="noprint Inline-Template"><span style="white-space: nowrap;">&#91;<i><a href="/wiki/Wikipedia:Link_rot" title="Wikipedia:Link rot"><span title="&#160;Dead link tagged February 2022">permanent dead link</span></a></i><span style="visibility:hidden; color:transparent; padding-left:2px">&#8205;</span>&#93;</span></sup></span> </li> <li id="cite_note-19"><span class="mw-cite-backlink"><b><a href="#cite_ref-19">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFOklobdzija2017" class="citation book cs1">Oklobdzija, Vojin G. (2017). <a rel="nofollow" class="external text" href="https://books.google.com/books?id=VOnyWUUUj04C&amp;dq=fpga+logic+gates+ram+blocks&amp;pg=SA9-PA6"><i>Digital Design and Fabrication</i></a>. CRC Press. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/9780849386046" title="Special:BookSources/9780849386046"><bdi>9780849386046</bdi></a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=book&amp;rft.btitle=Digital+Design+and+Fabrication&amp;rft.pub=CRC+Press&amp;rft.date=2017&amp;rft.isbn=9780849386046&amp;rft.aulast=Oklobdzija&amp;rft.aufirst=Vojin+G.&amp;rft_id=https%3A%2F%2Fbooks.google.com%2Fbooks%3Fid%3DVOnyWUUUj04C%26dq%3Dfpga%2Blogic%2Bgates%2Bram%2Bblocks%26pg%3DSA9-PA6&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-20"><span class="mw-cite-backlink"><b><a href="#cite_ref-20">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20160307162907/http://wiki.altium.com/display/adoh/fpga+si+tutorial+-+simulating+the+reflection+characteristics">"FPGA Signal Integrity tutorial"</a>. <i>altium.com</i>. Archived from <a rel="nofollow" class="external text" href="http://wiki.altium.com/display/ADOH/FPGA+SI+Tutorial+-+Simulating+the+Reflection+Characteristics">the original</a> on 2016-03-07<span class="reference-accessdate">. Retrieved <span class="nowrap">2010-06-15</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=altium.com&amp;rft.atitle=FPGA+Signal+Integrity+tutorial&amp;rft_id=http%3A%2F%2Fwiki.altium.com%2Fdisplay%2FADOH%2FFPGA%2BSI%2BTutorial%2B-%2BSimulating%2Bthe%2BReflection%2BCharacteristics&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-21"><span class="mw-cite-backlink"><b><a href="#cite_ref-21">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="http://klabs.org/richcontent/fpga_content/DesignNotes/signal_quality/actel_drive_strength/index.htm">NASA: FPGA drive strength</a> <a rel="nofollow" class="external text" href="https://web.archive.org/web/20101205230408/http://klabs.org/richcontent/fpga_content/DesignNotes/signal_quality/actel_drive_strength/index.htm">Archived</a> 2010-12-05 at the <a href="/wiki/Wayback_Machine" title="Wayback Machine">Wayback Machine</a></span> </li> <li id="cite_note-22"><span class="mw-cite-backlink"><b><a href="#cite_ref-22">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFMike_Thompson2007" class="citation magazine cs1">Mike Thompson (2007-07-02). <a rel="nofollow" class="external text" href="https://www.design-reuse.com/articles/16197/mixed-signal-fpgas-provide-green-power.html">"Mixed-signal FPGAs provide GREEN POWER"</a>. <i>Design &amp; Reuse</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Design+%26+Reuse&amp;rft.atitle=Mixed-signal+FPGAs+provide+GREEN+POWER&amp;rft.date=2007-07-02&amp;rft.au=Mike+Thompson&amp;rft_id=https%3A%2F%2Fwww.design-reuse.com%2Farticles%2F16197%2Fmixed-signal-fpgas-provide-green-power.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-23"><span class="mw-cite-backlink"><b><a href="#cite_ref-23">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFM.bV.p2014" class="citation journal cs1">M.b, Swami; V.p, Pawar (2014-07-31). <a rel="nofollow" class="external text" href="https://bioinfopublication.org/pages/article.php?id=BIA0002301">"VLSI DESIGN: A NEW APPROACH"</a>. <i>Journal of Intelligence Systems</i>. <b>4</b> (1): 60–63. <a href="/wiki/ISSN_(identifier)" class="mw-redirect" title="ISSN (identifier)">ISSN</a>&#160;<a rel="nofollow" class="external text" href="https://search.worldcat.org/issn/2229-7057">2229-7057</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Journal+of+Intelligence+Systems&amp;rft.atitle=VLSI+DESIGN%3A+A+NEW+APPROACH&amp;rft.volume=4&amp;rft.issue=1&amp;rft.pages=60-63&amp;rft.date=2014-07-31&amp;rft.issn=2229-7057&amp;rft.aulast=M.b&amp;rft.aufirst=Swami&amp;rft.au=V.p%2C+Pawar&amp;rft_id=https%3A%2F%2Fbioinfopublication.org%2Fpages%2Farticle.php%3Fid%3DBIA0002301&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-24"><span class="mw-cite-backlink"><b><a href="#cite_ref-24">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="http://www.altera.com/literature/hb/cyc2/cyc2_cii51002.pdf">2. CycloneII Architecture</a>. Altera. February 2007</span> </li> <li id="cite_note-25"><span class="mw-cite-backlink"><b><a href="#cite_ref-25">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20110926214034/http://www.altera.com/literature/hb/stratix-iv/stx4_5v1_01.pdf">"Documentation: Stratix IV Devices"</a> <span class="cs1-format">(PDF)</span>. Altera.com. 2008-06-11. Archived from <a rel="nofollow" class="external text" href="http://www.altera.com/literature/hb/stratix-iv/stx4_5v1_01.pdf">the original</a> <span class="cs1-format">(PDF)</span> on 2011-09-26<span class="reference-accessdate">. Retrieved <span class="nowrap">2013-05-01</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Documentation%3A+Stratix+IV+Devices&amp;rft.pub=Altera.com&amp;rft.date=2008-06-11&amp;rft_id=http%3A%2F%2Fwww.altera.com%2Fliterature%2Fhb%2Fstratix-iv%2Fstx4_5v1_01.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-26"><span class="mw-cite-backlink"><b><a href="#cite_ref-26">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="http://www.xilinx.com/support/documentation/user_guides/ug070.pdf">Virtex-4 FPGA User Guide</a> (December 1st, 2008). Xilinx, Inc.</span> </li> <li id="cite_note-Xilinx-Inc-Oct-2011-8-K-27"><span class="mw-cite-backlink"><b><a href="#cite_ref-Xilinx-Inc-Oct-2011-8-K_27-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://edgar.secdatabase.com/520/95012311090713/filing-main.htm">"Xilinx Inc, Form 8-K, Current Report, Filing Date Oct 19, 2011"</a>. secdatabase.com<span class="reference-accessdate">. Retrieved <span class="nowrap">May 6,</span> 2018</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Xilinx+Inc%2C+Form+8-K%2C+Current+Report%2C+Filing+Date+Oct+19%2C+2011&amp;rft.pub=secdatabase.com&amp;rft_id=http%3A%2F%2Fedgar.secdatabase.com%2F520%2F95012311090713%2Ffiling-main.htm&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-Xilinx-Inc-May-2011-10-K-28"><span class="mw-cite-backlink"><b><a href="#cite_ref-Xilinx-Inc-May-2011-10-K_28-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://edgar.secdatabase.com/1249/95012311055454/filing-main.htm">"Xilinx Inc, Form 10-K, Annual Report, Filing Date May 31, 2011"</a>. secdatabase.com<span class="reference-accessdate">. Retrieved <span class="nowrap">May 6,</span> 2018</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Xilinx+Inc%2C+Form+10-K%2C+Annual+Report%2C+Filing+Date+May+31%2C+2011&amp;rft.pub=secdatabase.com&amp;rft_id=http%3A%2F%2Fedgar.secdatabase.com%2F1249%2F95012311055454%2Ffiling-main.htm&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-29"><span class="mw-cite-backlink"><b><a href="#cite_ref-29">^</a></b></span> <span class="reference-text">Dean Takahashi, VentureBeat. "<a rel="nofollow" class="external text" href="https://venturebeat.com/2011/05/02/intel-connection-helped-chip-startup-tabula-raise-108m">Intel connection helped chip startup Tabula raise $108M</a>." May 2, 2011. Retrieved May 13, 2011.</span> </li> <li id="cite_note-lawrence-30"><span class="mw-cite-backlink">^ <a href="#cite_ref-lawrence_30-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-lawrence_30-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">Lawrence Latif, The Inquirer. "<a rel="nofollow" class="external text" href="https://web.archive.org/web/20101029124903/http://www.theinquirer.net/inquirer/news/1811460/fpga-manufacturer-claims-beat-moores-law">FPGA manufacturer claims to beat Moore's Law</a>." October 27, 2010. Retrieved May 12, 2011.</span> </li> <li id="cite_note-31"><span class="mw-cite-backlink"><b><a href="#cite_ref-31">^</a></b></span> <span class="reference-text">EDN Europe. "<a rel="nofollow" class="external text" href="http://www.edn-europe.com/xilinxadoptsstackeddie3dpackaging+article+4461+Europe.html">Xilinx adopts stacked-die 3D packaging</a> <a rel="nofollow" class="external text" href="https://web.archive.org/web/20110219182606/http://www.edn-europe.com/xilinxadoptsstackeddie3dpackaging+article+4461+Europe.html">Archived</a> 2011-02-19 at the <a href="/wiki/Wayback_Machine" title="Wayback Machine">Wayback Machine</a>." November 1, 2010. Retrieved May 12, 2011.</span> </li> <li id="cite_note-32"><span class="mw-cite-backlink"><b><a href="#cite_ref-32">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFSaban2012" class="citation web cs1">Saban, Kirk (December 11, 2012). <a rel="nofollow" class="external text" href="https://www.xilinx.com/support/documentation/white_papers/wp380_Stacked_Silicon_Interconnect_Technology.pdf">"Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough FPGA Capacity, Bandwidth, and Power Efficiency"</a> <span class="cs1-format">(PDF)</span>. <i>xilinx.com</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20101105113516/http://www.xilinx.com/support/documentation/white_papers/wp380_Stacked_Silicon_Interconnect_Technology.pdf">Archived</a> <span class="cs1-format">(PDF)</span> from the original on 2010-11-05<span class="reference-accessdate">. Retrieved <span class="nowrap">2018-11-30</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=xilinx.com&amp;rft.atitle=Xilinx+Stacked+Silicon+Interconnect+Technology+Delivers+Breakthrough+FPGA+Capacity%2C+Bandwidth%2C+and+Power+Efficiency&amp;rft.date=2012-12-11&amp;rft.aulast=Saban&amp;rft.aufirst=Kirk&amp;rft_id=https%3A%2F%2Fwww.xilinx.com%2Fsupport%2Fdocumentation%2Fwhite_papers%2Fwp380_Stacked_Silicon_Interconnect_Technology.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-33"><span class="mw-cite-backlink"><b><a href="#cite_ref-33">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20150713230215/http://www.intel.com/content/www/us/en/foundry/emib.html">"Intel Custom Foundry EMIB"</a>. <i>Intel</i>. Archived from <a rel="nofollow" class="external text" href="http://www.intel.com/content/www/us/en/foundry/emib.html">the original</a> on 2015-07-13<span class="reference-accessdate">. Retrieved <span class="nowrap">2015-07-13</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Intel&amp;rft.atitle=Intel+Custom+Foundry+EMIB&amp;rft_id=http%3A%2F%2Fwww.intel.com%2Fcontent%2Fwww%2Fus%2Fen%2Ffoundry%2Femib.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-34"><span class="mw-cite-backlink"><b><a href="#cite_ref-34">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20201226074106/https://blog.digilentinc.com/battle-over-the-fpga-vhdl-vs-verilog-who-is-the-true-champ/">"Battle Over the FPGA: VHDL vs Verilog! Who is the True Champ?"</a>. <i>digilentinc.com</i>. Archived from <a rel="nofollow" class="external text" href="https://blog.digilentinc.com/battle-over-the-fpga-vhdl-vs-verilog-who-is-the-true-champ/">the original</a> on 2020-12-26<span class="reference-accessdate">. Retrieved <span class="nowrap">2020-12-16</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=digilentinc.com&amp;rft.atitle=Battle+Over+the+FPGA%3A+VHDL+vs+Verilog%21+Who+is+the+True+Champ%3F&amp;rft_id=https%3A%2F%2Fblog.digilentinc.com%2Fbattle-over-the-fpga-vhdl-vs-verilog-who-is-the-true-champ%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-35"><span class="mw-cite-backlink"><b><a href="#cite_ref-35">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20170101125857/https://streamcomputing.eu/blog/2014-09-16/use-opencl-fpgas/">"Why use OpenCL on FPGAs?"</a>. <i>StreamComputing</i>. 2014-09-16. Archived from <a rel="nofollow" class="external text" href="http://streamcomputing.eu/blog/2014-09-16/use-opencl-fpgas/">the original</a> on 2017-01-01<span class="reference-accessdate">. Retrieved <span class="nowrap">2015-07-17</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=StreamComputing&amp;rft.atitle=Why+use+OpenCL+on+FPGAs%3F&amp;rft.date=2014-09-16&amp;rft_id=http%3A%2F%2Fstreamcomputing.eu%2Fblog%2F2014-09-16%2Fuse-opencl-fpgas%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-EDN-36"><span class="mw-cite-backlink">^ <a href="#cite_ref-EDN_36-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-EDN_36-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.edn.com/all-about-fpgas/">"All about FPGAs"</a>. 21 March 2006.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=All+about+FPGAs&amp;rft.date=2006-03-21&amp;rft_id=https%3A%2F%2Fwww.edn.com%2Fall-about-fpgas%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-37"><span class="mw-cite-backlink"><b><a href="#cite_ref-37">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFDillien2017" class="citation web cs1">Dillien, Paul (March 6, 2017). <a rel="nofollow" class="external text" href="https://web.archive.org/web/20190105015123/http://www.eetimes.com/author.asp?doc_id=1331443">"And the Winner of Best FPGA of 2016 is..."</a> <i>EETimes</i>. Archived from <a rel="nofollow" class="external text" href="http://www.eetimes.com/author.asp?doc_id=1331443">the original</a> on January 5, 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">September 7,</span> 2017</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=EETimes&amp;rft.atitle=And+the+Winner+of+Best+FPGA+of+2016+is...&amp;rft.date=2017-03-06&amp;rft.aulast=Dillien&amp;rft.aufirst=Paul&amp;rft_id=http%3A%2F%2Fwww.eetimes.com%2Fauthor.asp%3Fdoc_id%3D1331443&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-38"><span class="mw-cite-backlink"><b><a href="#cite_ref-38">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.xilinx.com/products/design-tools/ise-design-suite.html">"Xilinx ISE Design Suite"</a>. <i>www.xilinx.com</i><span class="reference-accessdate">. Retrieved <span class="nowrap">2018-12-01</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.xilinx.com&amp;rft.atitle=Xilinx+ISE+Design+Suite&amp;rft_id=https%3A%2F%2Fwww.xilinx.com%2Fproducts%2Fdesign-tools%2Fise-design-suite.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-39"><span class="mw-cite-backlink"><b><a href="#cite_ref-39">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.altera.com/products/design-software/fpga-design/quartus-prime/overview.html">"FPGA Design Software - Intel Quartus Prime"</a>. <i>Intel</i><span class="reference-accessdate">. Retrieved <span class="nowrap">2018-12-01</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Intel&amp;rft.atitle=FPGA+Design+Software+-+Intel+Quartus+Prime&amp;rft_id=https%3A%2F%2Fwww.altera.com%2Fproducts%2Fdesign-software%2Ffpga-design%2Fquartus-prime%2Foverview.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-40"><span class="mw-cite-backlink"><b><a href="#cite_ref-40">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20110410094902/http://www.tabula.com/news/M11_Tabula_Reprint.pdf">"Tabula's Time Machine&#160;— Micro Processor Report"</a> <span class="cs1-format">(PDF)</span>. Archived from <a rel="nofollow" class="external text" href="http://www.tabula.com/news/M11_Tabula_Reprint.pdf">the original</a> <span class="cs1-format">(PDF)</span> on 2011-04-10.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Tabula%27s+Time+Machine+%E2%80%94+Micro+Processor+Report&amp;rft_id=http%3A%2F%2Fwww.tabula.com%2Fnews%2FM11_Tabula_Reprint.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-41"><span class="mw-cite-backlink"><b><a href="#cite_ref-41">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="http://www.bizjournals.com/sanjose/news/2015/02/11/tabula-to-shut-down-120-jobs-lost-at-fabless-chip.html">Tabula to shut down; 120 jobs lost at fabless chip company</a> Silicon Valley Business Journal</span> </li> <li id="cite_note-42"><span class="mw-cite-backlink"><b><a href="#cite_ref-42">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://www.reuters.com/article/us-altera-m-a-intel-idUSKBN0OH2E020150601">"Intel to buy Altera for $16.7 billion in its biggest deal ever"</a>. <i>Reuters</i>. June 2015.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Reuters&amp;rft.atitle=Intel+to+buy+Altera+for+%2416.7+billion+in+its+biggest+deal+ever&amp;rft.date=2015-06&amp;rft_id=https%3A%2F%2Fwww.reuters.com%2Farticle%2Fus-altera-m-a-intel-idUSKBN0OH2E020150601&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-43"><span class="mw-cite-backlink"><b><a href="#cite_ref-43">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://www.amd.com/en/press-releases/2020-10-27-amd-to-acquire-xilinx-creating-the-industry-s-high-performance-computing">"AMD to Acquire Xilinx, Creating the Industry's High Performance Computing Leader"</a>. October 2020.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=AMD+to+Acquire+Xilinx%2C+Creating+the+Industry%27s+High+Performance+Computing+Leader&amp;rft.date=2020-10&amp;rft_id=https%3A%2F%2Fwww.amd.com%2Fen%2Fpress-releases%2F2020-10-27-amd-to-acquire-xilinx-creating-the-industry-s-high-performance-computing&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-44"><span class="mw-cite-backlink"><b><a href="#cite_ref-44">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://www.reuters.com/technology/amd-closes-biggest-chip-acquisition-with-498-bln-purchase-xilinx-2022-02-14/">"AMD closes record chip industry deal with estimated $50 billion purchase of Xilinx"</a>. <i>Reuters</i>. February 2022.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Reuters&amp;rft.atitle=AMD+closes+record+chip+industry+deal+with+estimated+%2450+billion+purchase+of+Xilinx&amp;rft.date=2022-02&amp;rft_id=https%3A%2F%2Fwww.reuters.com%2Ftechnology%2Famd-closes-biggest-chip-acquisition-with-498-bln-purchase-xilinx-2022-02-14%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-Intel_Launches_Altera-45"><span class="mw-cite-backlink"><b><a href="#cite_ref-Intel_Launches_Altera_45-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation pressrelease cs1"><a rel="nofollow" class="external text" href="https://www.intel.com/content/www/us/en/newsroom/news/intel-launches-altera-standalone-fpga-operation.html">"Intel Launches Altera, Its New Standalone FPGA Company"</a>. <i>Intel</i> (Press release)<span class="reference-accessdate">. Retrieved <span class="nowrap">2024-02-29</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Intel+Launches+Altera%2C+Its+New+Standalone+FPGA+Company&amp;rft_id=https%3A%2F%2Fwww.intel.com%2Fcontent%2Fwww%2Fus%2Fen%2Fnewsroom%2Fnews%2Fintel-launches-altera-standalone-fpga-operation.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-46"><span class="mw-cite-backlink"><b><a href="#cite_ref-46">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation pressrelease cs1"><a rel="nofollow" class="external text" href="http://newsroom.intel.com/community/intel_newsroom/blog/2010/11/01/chip-shot-achronix-to-use-intel-s-22nm-manufacturing">"Achronix to Use Intel's 22nm Manufacturing"</a>. <i>Intel Newsroom</i> (Press release). 2010-11-01<span class="reference-accessdate">. Retrieved <span class="nowrap">2018-12-01</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Achronix+to+Use+Intel%27s+22nm+Manufacturing&amp;rft.date=2010-11-01&amp;rft_id=http%3A%2F%2Fnewsroom.intel.com%2Fcommunity%2Fintel_newsroom%2Fblog%2F2010%2F11%2F01%2Fchip-shot-achronix-to-use-intel-s-22nm-manufacturing&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span><sup class="noprint Inline-Template noprint noexcerpt Template-Fact" style="white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:NOTRS" class="mw-redirect" title="Wikipedia:NOTRS"><span title="This claim needs references to better sources. (September 2024)">better&#160;source&#160;needed</span></a></i>&#93;</sup></span> </li> <li id="cite_note-47"><span class="mw-cite-backlink"><b><a href="#cite_ref-47">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFMaxfield2004" class="citation book cs1">Maxfield, Clive (16 June 2004). <a rel="nofollow" class="external text" href="https://books.google.com/books?id=dnuwr2xOFpUC&amp;dq=fpga+altium&amp;pg=PA117"><i>The Design Warrior's Guide to FPGAs</i></a>. Elsevier Science. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/9780080477138" title="Special:BookSources/9780080477138"><bdi>9780080477138</bdi></a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=book&amp;rft.btitle=The+Design+Warrior%27s+Guide+to+FPGAs&amp;rft.pub=Elsevier+Science&amp;rft.date=2004-06-16&amp;rft.isbn=9780080477138&amp;rft.aulast=Maxfield&amp;rft.aufirst=Clive&amp;rft_id=https%3A%2F%2Fbooks.google.com%2Fbooks%3Fid%3Ddnuwr2xOFpUC%26dq%3Dfpga%2Baltium%26pg%3DPA117&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-48"><span class="mw-cite-backlink"><b><a href="#cite_ref-48">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://colognechip.com/about-the-company/">"About the company – Cologne Chip"</a><span class="reference-accessdate">. Retrieved <span class="nowrap">2024-02-27</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=About+the+company+%E2%80%93+Cologne+Chip&amp;rft_id=https%3A%2F%2Fcolognechip.com%2Fabout-the-company%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span><sup class="noprint Inline-Template noprint noexcerpt Template-Fact" style="white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:NOTRS" class="mw-redirect" title="Wikipedia:NOTRS"><span title="This claim needs references to better sources. (September 2024)">better&#160;source&#160;needed</span></a></i>&#93;</sup></span> </li> <li id="cite_note-49"><span class="mw-cite-backlink"><b><a href="#cite_ref-49">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20180824135219/https://sourcetech411.com/2013/04/top-fpga-companies-for-2013/">"Top FPGA Companies For 2013"</a>. <i>SourceTech411</i>. 2013-04-28. Archived from <a rel="nofollow" class="external text" href="http://sourcetech411.com/2013/04/top-fpga-companies-for-2013/">the original</a> on 2018-08-24<span class="reference-accessdate">. Retrieved <span class="nowrap">2018-12-01</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=SourceTech411&amp;rft.atitle=Top+FPGA+Companies+For+2013&amp;rft.date=2013-04-28&amp;rft_id=http%3A%2F%2Fsourcetech411.com%2F2013%2F04%2Ftop-fpga-companies-for-2013%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-50"><span class="mw-cite-backlink"><b><a href="#cite_ref-50">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.quicklogic.com/">"QuickLogic — Customizable Semiconductor Solutions for Mobile Devices"</a>. <i>www.quicklogic.com</i>. QuickLogic Corporation<span class="reference-accessdate">. Retrieved <span class="nowrap">2018-10-07</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.quicklogic.com&amp;rft.atitle=QuickLogic+%E2%80%94+Customizable+Semiconductor+Solutions+for+Mobile+Devices&amp;rft_id=http%3A%2F%2Fwww.quicklogic.com%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span><sup class="noprint Inline-Template noprint noexcerpt Template-Fact" style="white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:NOTRS" class="mw-redirect" title="Wikipedia:NOTRS"><span title="This claim needs references to better sources. (September 2024)">better&#160;source&#160;needed</span></a></i>&#93;</sup></span> </li> <li id="cite_note-Xilinx-Inc-Apr-2006-8-K-51"><span class="mw-cite-backlink"><b><a href="#cite_ref-Xilinx-Inc-Apr-2006-8-K_51-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://edgar.secdatabase.com/657/110465906027899/filing-main.htm">"Xilinx Inc, Form 8-K, Current Report, Filing Date Apr 26, 2006"</a>. secdatabase.com<span class="reference-accessdate">. Retrieved <span class="nowrap">May 6,</span> 2018</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Xilinx+Inc%2C+Form+8-K%2C+Current+Report%2C+Filing+Date+Apr+26%2C+2006&amp;rft.pub=secdatabase.com&amp;rft_id=http%3A%2F%2Fedgar.secdatabase.com%2F657%2F110465906027899%2Ffiling-main.htm&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-52"><span class="mw-cite-backlink"><b><a href="#cite_ref-52">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20100821182813/http://www.bdti.com/articles/info_eet0207fpga.htm">"Publications and Presentations"</a>. <i>bdti.com</i>. Archived from <a rel="nofollow" class="external text" href="https://www.bdti.com/articles/info_eet0207fpga.htm">the original</a> on 2010-08-21<span class="reference-accessdate">. Retrieved <span class="nowrap">2018-11-02</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=bdti.com&amp;rft.atitle=Publications+and+Presentations&amp;rft_id=https%3A%2F%2Fwww.bdti.com%2Farticles%2Finfo_eet0207fpga.htm&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-53"><span class="mw-cite-backlink"><b><a href="#cite_ref-53">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFLaPedus2007" class="citation web cs1">LaPedus, Mark (5 February 2007). <a rel="nofollow" class="external text" href="https://www.eetimes.com/xilinx-aims-65-nm-fpgas-at-dsp-applications/#">"Xilinx aims 65-nm FPGAs at DSP applications"</a>. <i>EETimes</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=EETimes&amp;rft.atitle=Xilinx+aims+65-nm+FPGAs+at+DSP+applications&amp;rft.date=2007-02-05&amp;rft.aulast=LaPedus&amp;rft.aufirst=Mark&amp;rft_id=https%3A%2F%2Fwww.eetimes.com%2Fxilinx-aims-65-nm-fpgas-at-dsp-applications%2F%23&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-54"><span class="mw-cite-backlink"><b><a href="#cite_ref-54">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFAlcaínFernándezNietoMontemayor2021" class="citation journal cs1">Alcaín, Eduardo; Fernández, Pedro R.; Nieto, Rubén; Montemayor, Antonio S.; Vilas, Jaime; Galiana-Bordera, Adrian; Martinez-Girones, Pedro Miguel; Prieto-de-la-Lastra, Carmen; Rodriguez-Vila, Borja; Bonet, Marina; Rodriguez-Sanchez, Cristina (2021-12-15). <a rel="nofollow" class="external text" href="https://doi.org/10.3390%2Felectronics10243118">"Hardware Architectures for Real-Time Medical Imaging"</a>. <i>Electronics</i>. <b>10</b> (24): 3118. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://doi.org/10.3390%2Felectronics10243118">10.3390/electronics10243118</a></span>. <a href="/wiki/ISSN_(identifier)" class="mw-redirect" title="ISSN (identifier)">ISSN</a>&#160;<a rel="nofollow" class="external text" href="https://search.worldcat.org/issn/2079-9292">2079-9292</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Electronics&amp;rft.atitle=Hardware+Architectures+for+Real-Time+Medical+Imaging&amp;rft.volume=10&amp;rft.issue=24&amp;rft.pages=3118&amp;rft.date=2021-12-15&amp;rft_id=info%3Adoi%2F10.3390%2Felectronics10243118&amp;rft.issn=2079-9292&amp;rft.aulast=Alca%C3%ADn&amp;rft.aufirst=Eduardo&amp;rft.au=Fern%C3%A1ndez%2C+Pedro+R.&amp;rft.au=Nieto%2C+Rub%C3%A9n&amp;rft.au=Montemayor%2C+Antonio+S.&amp;rft.au=Vilas%2C+Jaime&amp;rft.au=Galiana-Bordera%2C+Adrian&amp;rft.au=Martinez-Girones%2C+Pedro+Miguel&amp;rft.au=Prieto-de-la-Lastra%2C+Carmen&amp;rft.au=Rodriguez-Vila%2C+Borja&amp;rft.au=Bonet%2C+Marina&amp;rft.au=Rodriguez-Sanchez%2C+Cristina&amp;rft_id=https%3A%2F%2Fdoi.org%2F10.3390%252Felectronics10243118&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-55"><span class="mw-cite-backlink"><b><a href="#cite_ref-55">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFNagornovLyakhovValuevaBergerman2022" class="citation journal cs1">Nagornov, Nikolay N.; Lyakhov, Pavel A.; Valueva, Maria V.; Bergerman, Maxim V. (2022). <a rel="nofollow" class="external text" href="https://doi.org/10.1109%2FACCESS.2022.3151361">"RNS-Based FPGA Accelerators for High-Quality 3D Medical Image Wavelet Processing Using Scaled Filter Coefficients"</a>. <i>IEEE Access</i>. <b>10</b>: 19215–19231. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2022IEEEA..1019215N">2022IEEEA..1019215N</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://doi.org/10.1109%2FACCESS.2022.3151361">10.1109/ACCESS.2022.3151361</a></span>. <a href="/wiki/ISSN_(identifier)" class="mw-redirect" title="ISSN (identifier)">ISSN</a>&#160;<a rel="nofollow" class="external text" href="https://search.worldcat.org/issn/2169-3536">2169-3536</a>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:246895876">246895876</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=IEEE+Access&amp;rft.atitle=RNS-Based+FPGA+Accelerators+for+High-Quality+3D+Medical+Image+Wavelet+Processing+Using+Scaled+Filter+Coefficients&amp;rft.volume=10&amp;rft.pages=19215-19231&amp;rft.date=2022&amp;rft_id=info%3Adoi%2F10.1109%2FACCESS.2022.3151361&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A246895876%23id-name%3DS2CID&amp;rft.issn=2169-3536&amp;rft_id=info%3Abibcode%2F2022IEEEA..1019215N&amp;rft.aulast=Nagornov&amp;rft.aufirst=Nikolay+N.&amp;rft.au=Lyakhov%2C+Pavel+A.&amp;rft.au=Valueva%2C+Maria+V.&amp;rft.au=Bergerman%2C+Maxim+V.&amp;rft_id=https%3A%2F%2Fdoi.org%2F10.1109%252FACCESS.2022.3151361&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-BingFPGA-56"><span class="mw-cite-backlink"><b><a href="#cite_ref-BingFPGA_56-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFMorgan2014" class="citation news cs1">Morgan, Timothy Pricket (2014-09-03). <a rel="nofollow" class="external text" href="https://www.enterprisetech.com/2014/09/03/microsoft-using-fpgas-speed-bing-search/">"How Microsoft Is Using FPGAs To Speed Up Bing Search"</a>. Enterprise Tech<span class="reference-accessdate">. Retrieved <span class="nowrap">2018-09-18</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=How+Microsoft+Is+Using+FPGAs+To+Speed+Up+Bing+Search&amp;rft.date=2014-09-03&amp;rft.aulast=Morgan&amp;rft.aufirst=Timothy+Pricket&amp;rft_id=https%3A%2F%2Fwww.enterprisetech.com%2F2014%2F09%2F03%2Fmicrosoft-using-fpgas-speed-bing-search%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-57"><span class="mw-cite-backlink"><b><a href="#cite_ref-57">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.anandtech.com/show/1742/2">"Gigabyte's i-RAM: Affordable Solid State Storage"</a>. <i>anandtech.com</i>. 2005-07-25<span class="reference-accessdate">. Retrieved <span class="nowrap">2020-12-16</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=anandtech.com&amp;rft.atitle=Gigabyte%27s+i-RAM%3A+Affordable+Solid+State+Storage&amp;rft.date=2005-07-25&amp;rft_id=https%3A%2F%2Fwww.anandtech.com%2Fshow%2F1742%2F2&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-58"><span class="mw-cite-backlink"><b><a href="#cite_ref-58">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.militaryaerospace.com/articles/2016/06/radiation-hardened-space-fpga.html">"FPGA development devices for radiation-hardened space applications introduced by Microsemi"</a>. <i>www.militaryaerospace.com</i>. 2016-06-03<span class="reference-accessdate">. Retrieved <span class="nowrap">2018-11-02</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.militaryaerospace.com&amp;rft.atitle=FPGA+development+devices+for+radiation-hardened+space+applications+introduced+by+Microsemi&amp;rft.date=2016-06-03&amp;rft_id=https%3A%2F%2Fwww.militaryaerospace.com%2Farticles%2F2016%2F06%2Fradiation-hardened-space-fpga.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-auto-59"><span class="mw-cite-backlink"><b><a href="#cite_ref-auto_59-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://cryptech.is/wp-content/uploads/2016/02/CrypTech_Building_Transparency.pdf">"CrypTech: Building Transparency into Cryptography t"</a> <span class="cs1-format">(PDF)</span>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20160807180252/https://cryptech.is/wp-content/uploads/2016/02/CrypTech_Building_Transparency.pdf">Archived</a> <span class="cs1-format">(PDF)</span> from the original on 2016-08-07.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=CrypTech%3A+Building+Transparency+into+Cryptography+t&amp;rft_id=https%3A%2F%2Fcryptech.is%2Fwp-content%2Fuploads%2F2016%2F02%2FCrypTech_Building_Transparency.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-60"><span class="mw-cite-backlink"><b><a href="#cite_ref-60">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFMann2023" class="citation web cs1">Mann, Tobias (2023-03-08). <a rel="nofollow" class="external text" href="https://www.theregister.com/2023/03/08/intel_fpga_agilex/">"While Intel XPUs are delayed, here's some more FPGAs to tide you over"</a>. <i>The Register</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=The+Register&amp;rft.atitle=While+Intel+XPUs+are+delayed%2C+here%27s+some+more+FPGAs+to+tide+you+over&amp;rft.date=2023-03-08&amp;rft.aulast=Mann&amp;rft.aufirst=Tobias&amp;rft_id=https%3A%2F%2Fwww.theregister.com%2F2023%2F03%2F08%2Fintel_fpga_agilex%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-61"><span class="mw-cite-backlink"><b><a href="#cite_ref-61">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFLeberGeibLitz2011" class="citation conference cs1">Leber, Christian; Geib, Benjamin; Litz, Heiner (September 2011). <a rel="nofollow" class="external text" href="https://ieeexplore.ieee.org/document/6044837"><i>High Frequency Trading Acceleration Using FPGAs</i></a>. International Conference on Field Programmable Logic and Applications. IEEE. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1109%2FFPL.2011.64">10.1109/FPL.2011.64</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=conference&amp;rft.btitle=High+Frequency+Trading+Acceleration+Using+FPGAs&amp;rft.pub=IEEE&amp;rft.date=2011-09&amp;rft_id=info%3Adoi%2F10.1109%2FFPL.2011.64&amp;rft.aulast=Leber&amp;rft.aufirst=Christian&amp;rft.au=Geib%2C+Benjamin&amp;rft.au=Litz%2C+Heiner&amp;rft_id=https%3A%2F%2Fieeexplore.ieee.org%2Fdocument%2F6044837&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-62"><span class="mw-cite-backlink"><b><a href="#cite_ref-62">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.militaryaerospace.com/computers/article/16710419/softwaredefined-radio-and-jtrs">"Software-defined radio and JTRS"</a>. <i>Military Aerospace</i>. 2004-12-01<span class="reference-accessdate">. Retrieved <span class="nowrap">2024-01-17</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Military+Aerospace&amp;rft.atitle=Software-defined+radio+and+JTRS&amp;rft.date=2004-12-01&amp;rft_id=https%3A%2F%2Fwww.militaryaerospace.com%2Fcomputers%2Farticle%2F16710419%2Fsoftwaredefined-radio-and-jtrs&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-paper-63"><span class="mw-cite-backlink"><b><a href="#cite_ref-paper_63-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFHuffmireBrothertonSherwoodKastner2008" class="citation journal cs1">Huffmire, Ted; Brotherton, Brett; Sherwood, Timothy; Kastner, Ryan; Levin, Timothy; Nguyen, Thuy D.; Irvine, Cynthia (2008). "Managing Security in FPGA-Based Embedded Systems". <i>IEEE Design &amp; Test of Computers</i>. <b>25</b> (6): 590–598. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1109%2FMDT.2008.166">10.1109/MDT.2008.166</a>. <a href="/wiki/Hdl_(identifier)" class="mw-redirect" title="Hdl (identifier)">hdl</a>:<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://hdl.handle.net/10945%2F7159">10945/7159</a></span>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:115840">115840</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=IEEE+Design+%26+Test+of+Computers&amp;rft.atitle=Managing+Security+in+FPGA-Based+Embedded+Systems&amp;rft.volume=25&amp;rft.issue=6&amp;rft.pages=590-598&amp;rft.date=2008&amp;rft_id=info%3Ahdl%2F10945%2F7159&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A115840%23id-name%3DS2CID&amp;rft_id=info%3Adoi%2F10.1109%2FMDT.2008.166&amp;rft.aulast=Huffmire&amp;rft.aufirst=Ted&amp;rft.au=Brotherton%2C+Brett&amp;rft.au=Sherwood%2C+Timothy&amp;rft.au=Kastner%2C+Ryan&amp;rft.au=Levin%2C+Timothy&amp;rft.au=Nguyen%2C+Thuy+D.&amp;rft.au=Irvine%2C+Cynthia&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-64"><span class="mw-cite-backlink"><b><a href="#cite_ref-64">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFBabaeiSchieleZohner2022" class="citation journal cs1">Babaei, Armin; Schiele, Gregor; Zohner, Michael (2022-07-26). <a rel="nofollow" class="external text" href="https://www.ncbi.nlm.nih.gov/pmc/articles/PMC9331300">"Reconfigurable Security Architecture (RESA) Based on PUF for FPGA-Based IoT Devices"</a>. <i>Sensors</i>. <b>22</b> (15): 5577. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2022Senso..22.5577B">2022Senso..22.5577B</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://doi.org/10.3390%2Fs22155577">10.3390/s22155577</a></span>. <a href="/wiki/ISSN_(identifier)" class="mw-redirect" title="ISSN (identifier)">ISSN</a>&#160;<a rel="nofollow" class="external text" href="https://search.worldcat.org/issn/1424-8220">1424-8220</a>. <a href="/wiki/PMC_(identifier)" class="mw-redirect" title="PMC (identifier)">PMC</a>&#160;<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://www.ncbi.nlm.nih.gov/pmc/articles/PMC9331300">9331300</a></span>. <a href="/wiki/PMID_(identifier)" class="mw-redirect" title="PMID (identifier)">PMID</a>&#160;<a rel="nofollow" class="external text" href="https://pubmed.ncbi.nlm.nih.gov/35898079">35898079</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Sensors&amp;rft.atitle=Reconfigurable+Security+Architecture+%28RESA%29+Based+on+PUF+for+FPGA-Based+IoT+Devices&amp;rft.volume=22&amp;rft.issue=15&amp;rft.pages=5577&amp;rft.date=2022-07-26&amp;rft_id=https%3A%2F%2Fwww.ncbi.nlm.nih.gov%2Fpmc%2Farticles%2FPMC9331300%23id-name%3DPMC&amp;rft_id=info%3Abibcode%2F2022Senso..22.5577B&amp;rft_id=info%3Apmid%2F35898079&amp;rft_id=info%3Adoi%2F10.3390%2Fs22155577&amp;rft.issn=1424-8220&amp;rft.aulast=Babaei&amp;rft.aufirst=Armin&amp;rft.au=Schiele%2C+Gregor&amp;rft.au=Zohner%2C+Michael&amp;rft_id=https%3A%2F%2Fwww.ncbi.nlm.nih.gov%2Fpmc%2Farticles%2FPMC9331300&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-65"><span class="mw-cite-backlink"><b><a href="#cite_ref-65">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20150713093531/https://www.intrinsic-id.com/eetimes-security-features-for-non-security-experts/">"EETimes on PUF: Security features for non-security experts – Intrinsic ID"</a>. <i>Intrinsic ID</i>. 2015-06-09. Archived from <a rel="nofollow" class="external text" href="https://www.intrinsic-id.com/eetimes-security-features-for-non-security-experts/">the original</a> on 2015-07-13<span class="reference-accessdate">. Retrieved <span class="nowrap">2015-07-12</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Intrinsic+ID&amp;rft.atitle=EETimes+on+PUF%3A+Security+features+for+non-security+experts+%E2%80%93+Intrinsic+ID&amp;rft.date=2015-06-09&amp;rft_id=https%3A%2F%2Fwww.intrinsic-id.com%2Feetimes-security-features-for-non-security-experts%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-66"><span class="mw-cite-backlink"><b><a href="#cite_ref-66">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFSkorobogatovWoods2012" class="citation book cs1">Skorobogatov, Sergei; Woods, Christopher (2012). "Breakthrough Silicon Scanning Discovers Backdoor in Military Chip". <i>Cryptographic Hardware and Embedded Systems – CHES 2012</i>. Lecture Notes in Computer Science. Vol.&#160;7428. pp.&#160;23–40. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1007%2F978-3-642-33027-8_2">10.1007/978-3-642-33027-8_2</a>. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/978-3-642-33026-1" title="Special:BookSources/978-3-642-33026-1"><bdi>978-3-642-33026-1</bdi></a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=bookitem&amp;rft.atitle=Breakthrough+Silicon+Scanning+Discovers+Backdoor+in+Military+Chip&amp;rft.btitle=Cryptographic+Hardware+and+Embedded+Systems+%E2%80%93+CHES+2012&amp;rft.series=Lecture+Notes+in+Computer+Science&amp;rft.pages=23-40&amp;rft.date=2012&amp;rft_id=info%3Adoi%2F10.1007%2F978-3-642-33027-8_2&amp;rft.isbn=978-3-642-33026-1&amp;rft.aulast=Skorobogatov&amp;rft.aufirst=Sergei&amp;rft.au=Woods%2C+Christopher&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-FPGA-ASIC-comparison-67"><span class="mw-cite-backlink"><b><a href="#cite_ref-FPGA-ASIC-comparison_67-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFKuonRose2006" class="citation conference cs1">Kuon, Ian; Rose, Jonathan (2006). <a rel="nofollow" class="external text" href="https://web.archive.org/web/20100622170541/http://ece.gmu.edu/coursewebpages/ECE/ECE448/S09/viewgraphs/Gap_between_FPGAs_and_ASICs.pdf">"Measuring the gap between FPGAs and ASICs"</a> <span class="cs1-format">(PDF)</span>. <i>Proceedings of the international symposium on Field programmable gate arrays – FPGA'06</i>. New York, NY: ACM. pp.&#160;21–30. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1145%2F1117201.1117205">10.1145/1117201.1117205</a>. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/1-59593-292-5" title="Special:BookSources/1-59593-292-5"><bdi>1-59593-292-5</bdi></a>. Archived from <a rel="nofollow" class="external text" href="http://ece.gmu.edu/coursewebpages/ECE/ECE448/S09/viewgraphs/Gap_between_FPGAs_and_ASICs.pdf">the original</a> <span class="cs1-format">(PDF)</span> on 2010-06-22<span class="reference-accessdate">. Retrieved <span class="nowrap">2017-10-25</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=conference&amp;rft.atitle=Measuring+the+gap+between+FPGAs+and+ASICs&amp;rft.btitle=Proceedings+of+the+international+symposium+on+Field+programmable+gate+arrays+%E2%80%93+FPGA%2706&amp;rft.place=New+York%2C+NY&amp;rft.pages=21-30&amp;rft.pub=ACM&amp;rft.date=2006&amp;rft_id=info%3Adoi%2F10.1145%2F1117201.1117205&amp;rft.isbn=1-59593-292-5&amp;rft.aulast=Kuon&amp;rft.aufirst=Ian&amp;rft.au=Rose%2C+Jonathan&amp;rft_id=http%3A%2F%2Fece.gmu.edu%2Fcoursewebpages%2FECE%2FECE448%2FS09%2Fviewgraphs%2FGap_between_FPGAs_and_ASICs.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-68"><span class="mw-cite-backlink"><b><a href="#cite_ref-68">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFCutress2019" class="citation web cs1">Cutress, Ian (August 27, 2019). <a rel="nofollow" class="external text" href="https://www.anandtech.com/show/14798/xilinx-announces-world-largest-fpga-virtex-ultrascale-vu19p-with-9m-cells">"Xilinx Announces World Largest FPGA: Virtex Ultrascale+ VU19P with 9m Cells"</a>. <i><a href="/wiki/AnandTech" title="AnandTech">AnandTech</a></i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=AnandTech&amp;rft.atitle=Xilinx+Announces+World+Largest+FPGA%3A+Virtex+Ultrascale%2B+VU19P+with+9m+Cells&amp;rft.date=2019-08-27&amp;rft.aulast=Cutress&amp;rft.aufirst=Ian&amp;rft_id=https%3A%2F%2Fwww.anandtech.com%2Fshow%2F14798%2Fxilinx-announces-world-largest-fpga-virtex-ultrascale-vu19p-with-9m-cells&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-69"><span class="mw-cite-backlink"><b><a href="#cite_ref-69">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.intel.com/content/www/us/en/programmable/documentation/ekx1496870149834.html">"AN 818: Static Update Partial Reconfiguration Tutorial: for Intel Stratix 10 GX FPGA Development Board"</a>. <i>www.intel.com</i><span class="reference-accessdate">. Retrieved <span class="nowrap">2018-12-01</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.intel.com&amp;rft.atitle=AN+818%3A+Static+Update+Partial+Reconfiguration+Tutorial%3A+for+Intel+Stratix+10+GX+FPGA+Development+Board&amp;rft_id=https%3A%2F%2Fwww.intel.com%2Fcontent%2Fwww%2Fus%2Fen%2Fprogrammable%2Fdocumentation%2Fekx1496870149834.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-70"><span class="mw-cite-backlink"><b><a href="#cite_ref-70">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://electronics.stackexchange.com/questions/45115/can-fpgas-dynamically-modify-their-logic">"Can FPGAs dynamically modify their logic?"</a>. <i>Electrical Engineering Stack Exchange</i><span class="reference-accessdate">. Retrieved <span class="nowrap">2018-12-01</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Electrical+Engineering+Stack+Exchange&amp;rft.atitle=Can+FPGAs+dynamically+modify+their+logic%3F&amp;rft_id=https%3A%2F%2Felectronics.stackexchange.com%2Fquestions%2F45115%2Fcan-fpgas-dynamically-modify-their-logic&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> <li id="cite_note-71"><span class="mw-cite-backlink"><b><a href="#cite_ref-71">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://numato.com/kb/cpld-vs-fpga-differences-one-use/">"CPLD vs FPGA: Differences between them and which one to use? – Numato Lab Help Center"</a>. <i>numato.com</i>. 2017-11-29.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=numato.com&amp;rft.atitle=CPLD+vs+FPGA%3A+Differences+between+them+and+which+one+to+use%3F+%E2%80%93+Numato+Lab+Help+Center&amp;rft.date=2017-11-29&amp;rft_id=https%3A%2F%2Fnumato.com%2Fkb%2Fcpld-vs-fpga-differences-one-use%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></span> </li> </ol></div> <div class="mw-heading mw-heading2"><h2 id="Further_reading">Further reading</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=23" title="Edit section: Further reading"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <ul><li><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFSadrozinskiWu2010" class="citation book cs1">Sadrozinski, Hartmut F.-W.; Wu, Jinyuan (2010). <i>Applications of Field-Programmable Gate Arrays in Scientific Research</i>. Taylor &amp; Francis. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/978-1-4398-4133-4" title="Special:BookSources/978-1-4398-4133-4"><bdi>978-1-4398-4133-4</bdi></a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=book&amp;rft.btitle=Applications+of+Field-Programmable+Gate+Arrays+in+Scientific+Research&amp;rft.pub=Taylor+%26+Francis&amp;rft.date=2010&amp;rft.isbn=978-1-4398-4133-4&amp;rft.aulast=Sadrozinski&amp;rft.aufirst=Hartmut+F.-W.&amp;rft.au=Wu%2C+Jinyuan&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></li> <li><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFWirth1995" class="citation book cs1">Wirth, Niklaus (1995). <i>Digital Circuit Design An Introduction Textbook</i>. Springer. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/978-3-540-58577-0" title="Special:BookSources/978-3-540-58577-0"><bdi>978-3-540-58577-0</bdi></a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=book&amp;rft.btitle=Digital+Circuit+Design+An+Introduction+Textbook&amp;rft.pub=Springer&amp;rft.date=1995&amp;rft.isbn=978-3-540-58577-0&amp;rft.aulast=Wirth&amp;rft.aufirst=Niklaus&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></li> <li><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFMitra2018" class="citation journal cs1">Mitra, Jubin (2018). <a rel="nofollow" class="external text" href="https://doi.org/10.1109%2FTVLSI.2017.2758807">"An FPGA-Based Phase Measurement System"</a>. <i>IEEE Transactions on Very Large Scale Integration (VLSI) Systems</i>. <b>26</b>. IEEE: 133–142. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://doi.org/10.1109%2FTVLSI.2017.2758807">10.1109/TVLSI.2017.2758807</a></span>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:4920719">4920719</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=IEEE+Transactions+on+Very+Large+Scale+Integration+%28VLSI%29+Systems&amp;rft.atitle=An+FPGA-Based+Phase+Measurement+System&amp;rft.volume=26&amp;rft.pages=133-142&amp;rft.date=2018&amp;rft_id=info%3Adoi%2F10.1109%2FTVLSI.2017.2758807&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A4920719%23id-name%3DS2CID&amp;rft.aulast=Mitra&amp;rft.aufirst=Jubin&amp;rft_id=https%3A%2F%2Fdoi.org%2F10.1109%252FTVLSI.2017.2758807&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AField-programmable+gate+array" class="Z3988"></span></li> <li>Mencer, Oskar et al. (2020). "The history, status, and future of FPGAs". Communications of the ACM. ACM. Vol. 63, No. 10. doi:<a href="//doi.org/10.1145/3410669" class="extiw" title="doi:10.1145/3410669">10.1145/3410669</a></li></ul> <div class="mw-heading mw-heading2"><h2 id="External_links">External links</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Field-programmable_gate_array&amp;action=edit&amp;section=24" title="Edit section: External links"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <ul><li><a rel="nofollow" class="external text" href="https://www.youtube.com/watch?v=gUsHwi4M4xE"><span class="plainlinks">What is an FPGA?</span></a> on <a href="/wiki/YouTube_video_(identifier)" class="mw-redirect" title="YouTube video (identifier)">YouTube</a></li> <li><a rel="nofollow" class="external text" href="https://www.ikalogic.com/2023/02/18/migrating-from-mcu-to-fpga.html">Migrating from MCU to FPGA</a></li></ul> <div class="navbox-styles"><style data-mw-deduplicate="TemplateStyles:r1129693374">.mw-parser-output .hlist dl,.mw-parser-output .hlist ol,.mw-parser-output .hlist ul{margin:0;padding:0}.mw-parser-output .hlist dd,.mw-parser-output .hlist dt,.mw-parser-output .hlist li{margin:0;display:inline}.mw-parser-output .hlist.inline,.mw-parser-output .hlist.inline dl,.mw-parser-output .hlist.inline ol,.mw-parser-output .hlist.inline ul,.mw-parser-output .hlist dl dl,.mw-parser-output .hlist dl ol,.mw-parser-output .hlist dl ul,.mw-parser-output .hlist ol dl,.mw-parser-output .hlist ol ol,.mw-parser-output .hlist ol ul,.mw-parser-output .hlist ul dl,.mw-parser-output .hlist ul ol,.mw-parser-output .hlist ul ul{display:inline}.mw-parser-output .hlist .mw-empty-li{display:none}.mw-parser-output .hlist dt::after{content:": "}.mw-parser-output .hlist dd::after,.mw-parser-output .hlist li::after{content:" · ";font-weight:bold}.mw-parser-output .hlist dd:last-child::after,.mw-parser-output .hlist dt:last-child::after,.mw-parser-output .hlist li:last-child::after{content:none}.mw-parser-output .hlist dd dd:first-child::before,.mw-parser-output .hlist dd dt:first-child::before,.mw-parser-output .hlist dd li:first-child::before,.mw-parser-output .hlist dt dd:first-child::before,.mw-parser-output .hlist dt dt:first-child::before,.mw-parser-output .hlist dt li:first-child::before,.mw-parser-output .hlist li dd:first-child::before,.mw-parser-output .hlist li dt:first-child::before,.mw-parser-output .hlist li li:first-child::before{content:" (";font-weight:normal}.mw-parser-output .hlist dd dd:last-child::after,.mw-parser-output .hlist dd dt:last-child::after,.mw-parser-output .hlist dd li:last-child::after,.mw-parser-output .hlist dt dd:last-child::after,.mw-parser-output .hlist dt dt:last-child::after,.mw-parser-output .hlist dt li:last-child::after,.mw-parser-output .hlist li dd:last-child::after,.mw-parser-output .hlist li dt:last-child::after,.mw-parser-output .hlist li li:last-child::after{content:")";font-weight:normal}.mw-parser-output .hlist ol{counter-reset:listitem}.mw-parser-output .hlist ol>li{counter-increment:listitem}.mw-parser-output .hlist ol>li::before{content:" "counter(listitem)"\a0 "}.mw-parser-output .hlist dd ol>li:first-child::before,.mw-parser-output .hlist dt ol>li:first-child::before,.mw-parser-output .hlist li ol>li:first-child::before{content:" ("counter(listitem)"\a0 "}</style><style data-mw-deduplicate="TemplateStyles:r1236075235">.mw-parser-output .navbox{box-sizing:border-box;border:1px solid #a2a9b1;width:100%;clear:both;font-size:88%;text-align:center;padding:1px;margin:1em auto 0}.mw-parser-output .navbox .navbox{margin-top:0}.mw-parser-output .navbox+.navbox,.mw-parser-output .navbox+.navbox-styles+.navbox{margin-top:-1px}.mw-parser-output .navbox-inner,.mw-parser-output .navbox-subgroup{width:100%}.mw-parser-output .navbox-group,.mw-parser-output .navbox-title,.mw-parser-output .navbox-abovebelow{padding:0.25em 1em;line-height:1.5em;text-align:center}.mw-parser-output .navbox-group{white-space:nowrap;text-align:right}.mw-parser-output .navbox,.mw-parser-output .navbox-subgroup{background-color:#fdfdfd}.mw-parser-output .navbox-list{line-height:1.5em;border-color:#fdfdfd}.mw-parser-output .navbox-list-with-group{text-align:left;border-left-width:2px;border-left-style:solid}.mw-parser-output tr+tr>.navbox-abovebelow,.mw-parser-output tr+tr>.navbox-group,.mw-parser-output tr+tr>.navbox-image,.mw-parser-output tr+tr>.navbox-list{border-top:2px solid #fdfdfd}.mw-parser-output .navbox-title{background-color:#ccf}.mw-parser-output .navbox-abovebelow,.mw-parser-output .navbox-group,.mw-parser-output .navbox-subgroup .navbox-title{background-color:#ddf}.mw-parser-output .navbox-subgroup .navbox-group,.mw-parser-output .navbox-subgroup .navbox-abovebelow{background-color:#e6e6ff}.mw-parser-output .navbox-even{background-color:#f7f7f7}.mw-parser-output .navbox-odd{background-color:transparent}.mw-parser-output .navbox .hlist td dl,.mw-parser-output .navbox .hlist td ol,.mw-parser-output .navbox .hlist td ul,.mw-parser-output .navbox td.hlist dl,.mw-parser-output .navbox td.hlist ol,.mw-parser-output .navbox td.hlist ul{padding:0.125em 0}.mw-parser-output .navbox .navbar{display:block;font-size:100%}.mw-parser-output .navbox-title .navbar{float:left;text-align:left;margin-right:0.5em}body.skin--responsive .mw-parser-output .navbox-image img{max-width:none!important}@media print{body.ns-0 .mw-parser-output .navbox{display:none!important}}</style></div><div role="navigation" class="navbox" aria-labelledby="Electronic_components" style="padding:3px"><table class="nowraplinks mw-collapsible mw-collapsed navbox-inner" style="border-spacing:0;background:transparent;color:inherit"><tbody><tr><th scope="col" class="navbox-title" colspan="2"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374"><style data-mw-deduplicate="TemplateStyles:r1239400231">.mw-parser-output .navbar{display:inline;font-size:88%;font-weight:normal}.mw-parser-output .navbar-collapse{float:left;text-align:left}.mw-parser-output .navbar-boxtext{word-spacing:0}.mw-parser-output .navbar ul{display:inline-block;white-space:nowrap;line-height:inherit}.mw-parser-output .navbar-brackets::before{margin-right:-0.125em;content:"[ "}.mw-parser-output .navbar-brackets::after{margin-left:-0.125em;content:" ]"}.mw-parser-output .navbar li{word-spacing:-0.125em}.mw-parser-output .navbar a>span,.mw-parser-output .navbar a>abbr{text-decoration:inherit}.mw-parser-output .navbar-mini abbr{font-variant:small-caps;border-bottom:none;text-decoration:none;cursor:inherit}.mw-parser-output .navbar-ct-full{font-size:114%;margin:0 7em}.mw-parser-output .navbar-ct-mini{font-size:114%;margin:0 4em}html.skin-theme-clientpref-night .mw-parser-output .navbar li a abbr{color:var(--color-base)!important}@media(prefers-color-scheme:dark){html.skin-theme-clientpref-os .mw-parser-output .navbar li a abbr{color:var(--color-base)!important}}@media print{.mw-parser-output .navbar{display:none!important}}</style><div class="navbar plainlinks hlist navbar-mini"><ul><li class="nv-view"><a href="/wiki/Template:Electronic_components" title="Template:Electronic components"><abbr title="View this template">v</abbr></a></li><li class="nv-talk"><a href="/wiki/Template_talk:Electronic_components" title="Template talk:Electronic components"><abbr title="Discuss this template">t</abbr></a></li><li class="nv-edit"><a href="/wiki/Special:EditPage/Template:Electronic_components" title="Special:EditPage/Template:Electronic components"><abbr title="Edit this template">e</abbr></a></li></ul></div><div id="Electronic_components" style="font-size:114%;margin:0 4em"><a href="/wiki/Electronic_component" title="Electronic component">Electronic components</a></div></th></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:center;"><a href="/wiki/Semiconductor_device" title="Semiconductor device">Semiconductor<br />devices</a></th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"></div><table class="nowraplinks navbox-subgroup" style="border-spacing:0"><tbody><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/MOSFET" title="MOSFET">MOS <br />transistors</a></th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Transistor" title="Transistor">Transistor</a></li> <li><a href="/wiki/NMOS_logic" title="NMOS logic">NMOS</a></li> <li><a href="/wiki/PMOS_logic" title="PMOS logic">PMOS</a></li> <li><a href="/wiki/BiCMOS" title="BiCMOS">BiCMOS</a></li> <li><a href="/wiki/Bio-FET" title="Bio-FET">BioFET</a></li> <li><a href="/wiki/Chemical_field-effect_transistor" title="Chemical field-effect transistor">Chemical field-effect transistor</a> (ChemFET)</li> <li><a href="/wiki/CMOS" title="CMOS">Complementary MOS</a> (CMOS)</li> <li><a href="/wiki/Depletion-load_NMOS_logic" title="Depletion-load NMOS logic">Depletion-load NMOS</a></li> <li><a href="/wiki/FinFET" class="mw-redirect" title="FinFET">Fin field-effect transistor</a> (FinFET)</li> <li><a href="/wiki/Floating-gate_MOSFET" title="Floating-gate MOSFET">Floating-gate MOSFET</a> (FGMOS)</li> <li><a href="/wiki/Insulated-gate_bipolar_transistor" title="Insulated-gate bipolar transistor">Insulated-gate bipolar transistor</a> (IGBT)</li> <li><a href="/wiki/ISFET" title="ISFET">ISFET</a></li> <li><a href="/wiki/LDMOS" title="LDMOS">LDMOS</a></li> <li><a href="/wiki/MOSFET" title="MOSFET">MOS field-effect transistor</a> (MOSFET)</li> <li><a href="/wiki/Multigate_device" title="Multigate device">Multi-gate field-effect transistor</a> (MuGFET)</li> <li><a href="/wiki/Power_MOSFET" title="Power MOSFET">Power MOSFET</a></li> <li><a href="/wiki/Thin-film_transistor" title="Thin-film transistor">Thin-film transistor</a> (TFT)</li> <li><a href="/wiki/VMOS" title="VMOS">VMOS</a></li> <li><a href="/wiki/Power_MOSFET#UMOS" title="Power MOSFET">UMOS</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Transistor" title="Transistor">Other <br />transistors</a></th><td class="navbox-list-with-group navbox-list navbox-even" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Bipolar_junction_transistor" title="Bipolar junction transistor">Bipolar junction transistor</a> (BJT)</li> <li><a href="/wiki/Darlington_transistor" title="Darlington transistor">Darlington transistor</a></li> <li><a href="/wiki/Diffused_junction_transistor" title="Diffused junction transistor">Diffused junction transistor</a></li> <li><a href="/wiki/Field-effect_transistor" title="Field-effect transistor">Field-effect transistor</a> (FET) <ul><li><a href="/wiki/JFET" title="JFET">Junction Gate FET (JFET)</a></li> <li><a href="/wiki/Organic_field-effect_transistor" title="Organic field-effect transistor">Organic FET (OFET)</a></li></ul></li> <li><a href="/wiki/Light-emitting_transistor" title="Light-emitting transistor">Light-emitting transistor</a> (LET) <ul><li><a href="/wiki/Organic_light-emitting_transistor" title="Organic light-emitting transistor">Organic LET (OLET)</a></li></ul></li> <li><a href="/wiki/Pentode_transistor" title="Pentode transistor">Pentode transistor</a></li> <li><a href="/wiki/Point-contact_transistor" title="Point-contact transistor">Point-contact transistor</a></li> <li><a href="/wiki/Programmable_unijunction_transistor" title="Programmable unijunction transistor">Programmable unijunction transistor</a> (PUT)</li> <li><a href="/wiki/Static_induction_transistor" title="Static induction transistor">Static induction transistor</a> (SIT)</li> <li><a href="/wiki/Tetrode_transistor" title="Tetrode transistor">Tetrode transistor</a></li> <li><a href="/wiki/Unijunction_transistor" title="Unijunction transistor">Unijunction transistor</a> (UJT)</li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Diode" title="Diode">Diodes</a></th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Avalanche_diode" title="Avalanche diode">Avalanche diode</a></li> <li><a href="/wiki/Constant-current_diode" title="Constant-current diode">Constant-current diode</a> (CLD, CRD)</li> <li><a href="/wiki/Gunn_diode" title="Gunn diode">Gunn diode</a></li> <li><a href="/wiki/Laser_diode" title="Laser diode">Laser diode</a> (LD)</li> <li><a href="/wiki/Light-emitting_diode" title="Light-emitting diode">Light-emitting diode</a> (LED)</li> <li><a href="/wiki/OLED" title="OLED">Organic light-emitting diode</a> (OLED)</li> <li><a href="/wiki/Photodiode" title="Photodiode">Photodiode</a></li> <li><a href="/wiki/PIN_diode" title="PIN diode">PIN diode</a></li> <li><a href="/wiki/Schottky_diode" title="Schottky diode">Schottky diode</a></li> <li><a href="/wiki/Step_recovery_diode" title="Step recovery diode">Step recovery diode</a></li> <li><a href="/wiki/Zener_diode" title="Zener diode">Zener diode</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Other <br />devices</th><td class="navbox-list-with-group navbox-list navbox-even" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Printed_electronics" title="Printed electronics">Printed electronics</a></li> <li><a href="/wiki/Printed_circuit_board" title="Printed circuit board">Printed circuit board</a></li> <li><a href="/wiki/DIAC" title="DIAC">DIAC</a></li> <li><a href="/wiki/Heterostructure_barrier_varactor" title="Heterostructure barrier varactor">Heterostructure barrier varactor</a></li> <li><a href="/wiki/Integrated_circuit" title="Integrated circuit">Integrated circuit</a> (IC)</li> <li><a href="/wiki/Hybrid_integrated_circuit" title="Hybrid integrated circuit">Hybrid integrated circuit</a></li> <li><a href="/wiki/Light_emitting_capacitor" class="mw-redirect" title="Light emitting capacitor">Light emitting capacitor</a> (LEC)</li> <li><a href="/wiki/Memistor" title="Memistor">Memistor</a></li> <li><a href="/wiki/Memristor" title="Memristor">Memristor</a></li> <li><a href="/wiki/Memtransistor" title="Memtransistor">Memtransistor</a></li> <li><a href="/wiki/Memory_cell_(computing)" title="Memory cell (computing)">Memory cell</a></li> <li><a href="/wiki/Metal-oxide_varistor" class="mw-redirect" title="Metal-oxide varistor">Metal-oxide varistor</a> (MOV)</li> <li><a href="/wiki/Mixed-signal_integrated_circuit" title="Mixed-signal integrated circuit">Mixed-signal integrated circuit</a></li> <li><a href="/wiki/MOS_integrated_circuit" class="mw-redirect" title="MOS integrated circuit">MOS integrated circuit</a> (MOS IC)</li> <li><a href="/wiki/Organic_semiconductor" title="Organic semiconductor">Organic semiconductor</a></li> <li><a href="/wiki/Photodetector" title="Photodetector">Photodetector</a></li> <li><a href="/wiki/Quantum_circuit" title="Quantum circuit">Quantum circuit</a></li> <li><a href="/wiki/RF_CMOS" title="RF CMOS">RF CMOS</a></li> <li><a href="/wiki/Silicon_controlled_rectifier" title="Silicon controlled rectifier">Silicon controlled rectifier</a> (SCR)</li> <li><a href="/wiki/Solaristor" title="Solaristor">Solaristor</a></li> <li><a href="/wiki/Static_induction_thyristor" title="Static induction thyristor">Static induction thyristor</a> (SITh)</li> <li><a href="/wiki/Three-dimensional_integrated_circuit" title="Three-dimensional integrated circuit">Three-dimensional integrated circuit</a> (3D IC)</li> <li><a href="/wiki/Thyristor" title="Thyristor">Thyristor</a></li> <li><a href="/wiki/Trancitor" title="Trancitor">Trancitor</a></li> <li><a href="/wiki/TRIAC" title="TRIAC">TRIAC</a></li> <li><a href="/wiki/Varicap" title="Varicap">Varicap</a></li></ul> </div></td></tr></tbody></table><div></div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:center;"><a href="/wiki/Voltage_regulator" title="Voltage regulator">Voltage regulators</a></th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Linear_regulator" title="Linear regulator">Linear regulator</a></li> <li><a href="/wiki/Low-dropout_regulator" title="Low-dropout regulator">Low-dropout regulator</a></li> <li><a href="/wiki/Switching_regulator" class="mw-redirect" title="Switching regulator">Switching regulator</a></li> <li><a href="/wiki/Buck_converter" title="Buck converter">Buck</a></li> <li><a href="/wiki/Boost_converter" title="Boost converter">Boost</a></li> <li><a href="/wiki/Buck%E2%80%93boost_converter" title="Buck–boost converter">Buck–boost</a></li> <li><a href="/wiki/Split-pi_topology" title="Split-pi topology">Split-pi</a></li> <li><a href="/wiki/%C4%86uk_converter" title="Ćuk converter">Ćuk</a></li> <li><a href="/wiki/Single-ended_primary-inductor_converter" title="Single-ended primary-inductor converter">SEPIC</a></li> <li><a href="/wiki/Charge_pump" title="Charge pump">Charge pump</a></li> <li><a href="/wiki/Switched_capacitor" title="Switched capacitor">Switched capacitor</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:center;"><a href="/wiki/Vacuum_tube" title="Vacuum tube">Vacuum tubes</a></th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Acorn_tube" title="Acorn tube">Acorn tube</a></li> <li><a href="/wiki/Audion" title="Audion">Audion</a></li> <li><a href="/wiki/Beam_tetrode" title="Beam tetrode">Beam tetrode</a></li> <li><a href="/wiki/Hot-wire_barretter" title="Hot-wire barretter">Barretter</a></li> <li><a href="/wiki/Compactron" title="Compactron">Compactron</a></li> <li><a href="/wiki/Vacuum_diode" class="mw-redirect" title="Vacuum diode">Diode</a></li> <li><a href="/wiki/Fleming_valve" title="Fleming valve">Fleming valve</a></li> <li><a href="/wiki/Neutron_generator" title="Neutron generator">Neutron tube</a></li> <li><a href="/wiki/Nonode" title="Nonode">Nonode</a></li> <li><a href="/wiki/Nuvistor" title="Nuvistor">Nuvistor</a></li> <li><a href="/wiki/Pentagrid_converter" title="Pentagrid converter">Pentagrid</a> (Hexode, Heptode, Octode)</li> <li><a href="/wiki/Pentode" title="Pentode">Pentode</a></li> <li><a href="/wiki/Photomultiplier_tube" title="Photomultiplier tube">Photomultiplier</a></li> <li><a href="/wiki/Phototube" title="Phototube">Phototube</a></li> <li><a href="/wiki/Tetrode" title="Tetrode">Tetrode</a></li> <li><a href="/wiki/Triode" title="Triode">Triode</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:center;"><a href="/wiki/Vacuum_tube" title="Vacuum tube">Vacuum tubes</a> (<a href="/wiki/Electromagnetic_radiation" title="Electromagnetic radiation">RF</a>)</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Backward-wave_oscillator" title="Backward-wave oscillator">Backward-wave oscillator</a> (BWO)</li> <li><a href="/wiki/Cavity_magnetron" title="Cavity magnetron">Cavity magnetron</a></li> <li><a href="/wiki/Crossed-field_amplifier" title="Crossed-field amplifier">Crossed-field amplifier</a> (CFA)</li> <li><a href="/wiki/Gyrotron" title="Gyrotron">Gyrotron</a></li> <li><a href="/wiki/Inductive_output_tube" title="Inductive output tube">Inductive output tube</a> (IOT)</li> <li><a href="/wiki/Klystron" title="Klystron">Klystron</a></li> <li><a href="/wiki/Maser" title="Maser">Maser</a></li> <li><a href="/wiki/Sutton_tube" title="Sutton tube">Sutton tube</a></li> <li><a href="/wiki/Traveling-wave_tube" title="Traveling-wave tube">Traveling-wave tube</a> (TWT)</li> <li><a href="/wiki/X-ray_tube" title="X-ray tube">X-ray tube</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:center;"><a href="/wiki/Cathode-ray_tube" title="Cathode-ray tube">Cathode-ray tubes</a></th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Beam_deflection_tube" title="Beam deflection tube">Beam deflection tube</a></li> <li><a href="/wiki/Charactron" title="Charactron">Charactron</a></li> <li><a href="/wiki/Iconoscope" title="Iconoscope">Iconoscope</a></li> <li><a href="/wiki/Magic_eye_tube" title="Magic eye tube">Magic eye tube</a></li> <li><a href="/wiki/Monoscope" title="Monoscope">Monoscope</a></li> <li><a href="/wiki/Selectron_tube" title="Selectron tube">Selectron tube</a></li> <li><a href="/wiki/Storage_tube" title="Storage tube">Storage tube</a></li> <li><a href="/wiki/Trochotron" class="mw-redirect" title="Trochotron">Trochotron</a></li> <li><a href="/wiki/Video_camera_tube" title="Video camera tube">Video camera tube</a></li> <li><a href="/wiki/Williams_tube" title="Williams tube">Williams tube</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:center;"><a href="/wiki/Gas-filled_tube" title="Gas-filled tube">Gas-filled tubes</a></th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Cold_cathode" title="Cold cathode">Cold cathode</a></li> <li><a href="/wiki/Crossatron" title="Crossatron">Crossatron</a></li> <li><a href="/wiki/Dekatron" title="Dekatron">Dekatron</a></li> <li><a href="/wiki/Ignitron" title="Ignitron">Ignitron</a></li> <li><a href="/wiki/Krytron" title="Krytron">Krytron</a></li> <li><a href="/wiki/Mercury-arc_valve" title="Mercury-arc valve">Mercury-arc valve</a></li> <li><a href="/wiki/Neon_lamp" title="Neon lamp">Neon lamp</a></li> <li><a href="/wiki/Nixie_tube" title="Nixie tube">Nixie tube</a></li> <li><a href="/wiki/Thyratron" title="Thyratron">Thyratron</a></li> <li><a href="/wiki/Trigatron" title="Trigatron">Trigatron</a></li> <li><a href="/wiki/Voltage-regulator_tube" title="Voltage-regulator tube">Voltage-regulator tube</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:center;">Adjustable</th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Potentiometer" title="Potentiometer">Potentiometer</a> <ul><li><a href="/wiki/Digital_potentiometer" title="Digital potentiometer">digital</a></li></ul></li> <li><a href="/wiki/Variable_capacitor" title="Variable capacitor">Variable capacitor</a></li> <li><a href="/wiki/Varicap" title="Varicap">Varicap</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:center;">Passive</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li>Connector <ul><li><a href="/wiki/Audio_and_video_interfaces_and_connectors" title="Audio and video interfaces and connectors">audio and video</a></li> <li><a href="/wiki/AC_power_plugs_and_sockets" title="AC power plugs and sockets">electrical power</a></li> <li><a href="/wiki/RF_connector" title="RF connector">RF</a></li></ul></li> <li><a href="/wiki/Electrolytic_detector" title="Electrolytic detector">Electrolytic detector</a></li> <li><a href="/wiki/Ferrite_core" title="Ferrite core">Ferrite</a></li> <li><a href="/wiki/Antifuse" title="Antifuse">Antifuse</a></li> <li><a href="/wiki/Fuse_(electrical)" title="Fuse (electrical)">Fuse</a> <ul><li><a href="/wiki/Resettable_fuse" title="Resettable fuse">resettable</a></li> <li><a href="/wiki/EFUSE" class="mw-redirect" title="EFUSE">eFUSE</a></li></ul></li> <li><a href="/wiki/Resistor" title="Resistor">Resistor</a></li> <li><a href="/wiki/Switch" title="Switch">Switch</a></li> <li><a href="/wiki/Thermistor" title="Thermistor">Thermistor</a></li> <li><a href="/wiki/Transformer" title="Transformer">Transformer</a></li> <li><a href="/wiki/Varistor" title="Varistor">Varistor</a></li> <li><a href="/wiki/Wire" title="Wire">Wire</a> <ul><li><a href="/wiki/Wollaston_wire" title="Wollaston wire">Wollaston wire</a></li></ul></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:center;"><a href="/wiki/Electrical_reactance" title="Electrical reactance">Reactive</a></th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Capacitor" title="Capacitor">Capacitor</a> <ul><li><a href="/wiki/Capacitor_types" title="Capacitor types">types</a></li></ul></li> <li><a href="/wiki/Ceramic_resonator" title="Ceramic resonator">Ceramic resonator</a></li> <li><a href="/wiki/Crystal_oscillator" title="Crystal oscillator">Crystal oscillator</a></li> <li><a href="/wiki/Inductor" title="Inductor">Inductor</a></li> <li><a href="/wiki/Parametron" title="Parametron">Parametron</a></li> <li><a href="/wiki/Relay" title="Relay">Relay</a> <ul><li><a href="/wiki/Reed_relay" title="Reed relay">reed relay</a></li> <li><a href="/wiki/Mercury_relay" title="Mercury relay">mercury relay</a></li></ul></li></ul> </div></td></tr></tbody></table></div> <div class="navbox-styles"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1236075235"></div><div role="navigation" class="navbox" aria-labelledby="Semiconductor_packages" style="wide;padding:3px"><table class="nowraplinks mw-collapsible autocollapse navbox-inner" style="border-spacing:0;background:transparent;color:inherit"><tbody><tr><th scope="col" class="navbox-title" colspan="2"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1239400231"><div class="navbar plainlinks hlist navbar-mini"><ul><li class="nv-view"><a href="/wiki/Template:Semiconductor_packages" title="Template:Semiconductor packages"><abbr title="View this template">v</abbr></a></li><li class="nv-talk"><a href="/wiki/Template_talk:Semiconductor_packages" title="Template talk:Semiconductor packages"><abbr title="Discuss this template">t</abbr></a></li><li class="nv-edit"><a href="/wiki/Special:EditPage/Template:Semiconductor_packages" title="Special:EditPage/Template:Semiconductor packages"><abbr title="Edit this template">e</abbr></a></li></ul></div><div id="Semiconductor_packages" style="font-size:114%;margin:0 4em"><a href="/wiki/Semiconductor_package" title="Semiconductor package">Semiconductor packages</a></div></th></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:left;">Single <a href="/wiki/Diode" title="Diode">diode</a></th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/w/index.php?title=DO-201&amp;action=edit&amp;redlink=1" class="new" title="DO-201 (page does not exist)">DO-201</a> (DO-27)</li> <li><a href="/wiki/DO-204" title="DO-204">DO-204</a> (DO-7 / DO-26 / DO-35 / DO-41)</li> <li><a href="/wiki/Metal_electrode_leadless_face" title="Metal electrode leadless face">DO-213</a> (MELF / SOD-80 / LL34)</li> <li><a href="/wiki/DO-214" title="DO-214">DO-214</a> (SMA / SMB / SMC)</li> <li><a href="/wiki/Small_Outline_Diode" title="Small Outline Diode">SOD</a> (SOD-123 / SOD-323 / SOD-523 / SOD-923)</li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:left;"><a href="/wiki/Semiconductor_device" title="Semiconductor device">3...5-pin</a></th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Small-outline_transistor" title="Small-outline transistor">SOT / TSOT</a></li> <li><a href="/wiki/TO-3" title="TO-3">TO-3</a> (TH / Panel)</li> <li><a href="/wiki/TO-5" title="TO-5">TO-5</a> (TH)</li> <li><a href="/wiki/TO-8" title="TO-8">TO-8</a> (TH)</li> <li><a href="/wiki/TO-18" title="TO-18">TO-18</a> (TH)</li> <li><a href="/wiki/TO-39" class="mw-redirect" title="TO-39">TO-39</a> (TH)</li> <li><a href="/wiki/TO-66" title="TO-66">TO-66</a> (TH / Panel)</li> <li><a href="/wiki/TO-92" title="TO-92">TO-92</a> (TH)</li> <li><a href="/wiki/TO-126" title="TO-126">TO-126</a> (TH / Panel)</li> <li><a href="/w/index.php?title=TO-202&amp;action=edit&amp;redlink=1" class="new" title="TO-202 (page does not exist)">TO-202</a> (TH / Panel)</li> <li><a href="/wiki/TO-220" title="TO-220">TO-220</a> (TH / Panel)</li> <li><a href="/w/index.php?title=TO-247&amp;action=edit&amp;redlink=1" class="new" title="TO-247 (page does not exist)">TO-247</a> (TH / Panel)</li> <li><a href="/w/index.php?title=TO-251&amp;action=edit&amp;redlink=1" class="new" title="TO-251 (page does not exist)">TO-251</a> (IPAK) (SMT)</li> <li><a href="/wiki/TO-252" title="TO-252">TO-252</a> (DPAK) (SMT)</li> <li><a href="/w/index.php?title=TO-262&amp;action=edit&amp;redlink=1" class="new" title="TO-262 (page does not exist)">TO-262</a> (I2PAK) (SMT)</li> <li><a href="/wiki/TO-263" title="TO-263">TO-263</a> (D2PAK) (SMT)</li> <li><a href="/w/index.php?title=TO-268&amp;action=edit&amp;redlink=1" class="new" title="TO-268 (page does not exist)">TO-268</a> (D3PAK) (SMT)</li> <li><a href="/w/index.php?title=TO-273&amp;action=edit&amp;redlink=1" class="new" title="TO-273 (page does not exist)">TO-273</a> (Super-220) (SMT)</li> <li><a href="/w/index.php?title=TO-274&amp;action=edit&amp;redlink=1" class="new" title="TO-274 (page does not exist)">TO-274</a> (Super-247) (SMT)</li> <li><a href="/w/index.php?title=TO-277&amp;action=edit&amp;redlink=1" class="new" title="TO-277 (page does not exist)">TO-277</a> (SMPC, SM-7) (SMT)</li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:left;">Single row</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Single_in-line_package" class="mw-redirect" title="Single in-line package">SIP / SIL</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:left;">Dual row</th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Quad_Flat_No-leads_package" class="mw-redirect" title="Quad Flat No-leads package">DFN</a></li> <li><a href="/wiki/Dual_in-line_package" title="Dual in-line package">DIP / DIL</a></li> <li><a href="/wiki/Flatpack_(electronics)" title="Flatpack (electronics)">Flat Pack</a></li> <li><a href="/wiki/Mini_Small_Outline_Package" title="Mini Small Outline Package">MSOP</a></li> <li><a href="/wiki/Small_Outline_Integrated_Circuit" class="mw-redirect" title="Small Outline Integrated Circuit">SO / SOIC</a></li> <li><a href="/wiki/Small_Outline_Integrated_Circuit#SOP" class="mw-redirect" title="Small Outline Integrated Circuit">SOP / SSOP</a></li> <li><a href="/wiki/Thin_small_outline_package" title="Thin small outline package">TSOP / HTSOP</a></li> <li><a href="/wiki/Thin_shrink_small_outline_package" title="Thin shrink small outline package">TSSOP / HTSSOP</a></li> <li><a href="/wiki/Zig-zag_in-line_package" title="Zig-zag in-line package">ZIP</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:left;">Quad row</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li>LCC</li> <li><a href="/wiki/Quad_in-line_package" title="Quad in-line package">QIP / QIL</a></li> <li><a href="/wiki/Plastic_leaded_chip_carrier" class="mw-redirect" title="Plastic leaded chip carrier">PLCC</a></li> <li><a href="/wiki/Quad_Flat_No-leads_package" class="mw-redirect" title="Quad Flat No-leads package">QFN</a></li> <li><a href="/wiki/Quad_Flat_Package" class="mw-redirect" title="Quad Flat Package">QFP</a></li> <li>QUIP / QUIL</li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:left;">Grid array</th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Ball_grid_array" title="Ball grid array">BGA</a></li> <li><a href="/wiki/Embedded_Wafer_Level_Ball_Grid_Array" class="mw-redirect" title="Embedded Wafer Level Ball Grid Array">eWLB</a></li> <li><a href="/wiki/Land_grid_array" title="Land grid array">LGA</a></li> <li><a href="/wiki/Pin_grid_array" title="Pin grid array">PGA</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:left;"><a href="/wiki/Wafer_(electronics)" title="Wafer (electronics)">Wafer</a></th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li>COB</li> <li>COF</li> <li>COG</li> <li><a href="/wiki/Chip-scale_package" title="Chip-scale package">CSP</a></li> <li><a href="/wiki/Flip_chip" title="Flip chip">Flip Chip</a></li> <li><a href="/wiki/Package_on_package" class="mw-redirect" title="Package on package">PoP</a></li> <li><a href="/wiki/Quilt_packaging" title="Quilt packaging">QP</a></li> <li><a href="/wiki/Universal_Integrated_Circuit_Card" class="mw-redirect" title="Universal Integrated Circuit Card">UICC</a></li> <li><a href="/wiki/Wafer-level_packaging" title="Wafer-level packaging">WL-CSP / WLP</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:left;">Related topics</th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Electronic_packaging" title="Electronic packaging">Electronic packaging</a></li> <li><a href="/wiki/Integrated_circuit_packaging" title="Integrated circuit packaging">Integrated circuit packaging</a></li> <li><a href="/wiki/List_of_electronic_component_packaging_types" title="List of electronic component packaging types">List of electronic component packaging types</a></li> <li><a href="/wiki/Printed_circuit_board" title="Printed circuit board">Printed circuit board</a></li> <li><a href="/wiki/Surface-mount_technology" title="Surface-mount technology">Surface-mount technology</a></li> <li><a href="/wiki/Through-hole_technology" title="Through-hole technology">Through-hole technology</a></li></ul> </div></td></tr><tr><td class="navbox-abovebelow" colspan="2"><div>It is relatively common to find packages that contain other components than their designated ones, such as diodes or <a href="/wiki/Voltage_regulator" title="Voltage regulator">voltage regulators</a> in transistor packages, etc.</div></td></tr></tbody></table></div> <div class="navbox-styles"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1236075235"></div><div role="navigation" class="navbox" aria-labelledby="Digital_electronics" style="padding:3px"><table class="nowraplinks mw-collapsible autocollapse navbox-inner" style="border-spacing:0;background:transparent;color:inherit"><tbody><tr><th scope="col" class="navbox-title" colspan="2"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1239400231"><div class="navbar plainlinks hlist navbar-mini"><ul><li class="nv-view"><a href="/wiki/Template:Digital_electronics" title="Template:Digital electronics"><abbr title="View this template">v</abbr></a></li><li class="nv-talk"><a href="/wiki/Template_talk:Digital_electronics" title="Template talk:Digital electronics"><abbr title="Discuss this template">t</abbr></a></li><li class="nv-edit"><a href="/wiki/Special:EditPage/Template:Digital_electronics" title="Special:EditPage/Template:Digital electronics"><abbr title="Edit this template">e</abbr></a></li></ul></div><div id="Digital_electronics" style="font-size:114%;margin:0 4em"><a href="/wiki/Digital_electronics" title="Digital electronics">Digital electronics</a></div></th></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:center;"><a href="/wiki/Electronic_component" title="Electronic component">Components</a></th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Transistor" title="Transistor">Transistor</a></li> <li><a href="/wiki/Resistor" title="Resistor">Resistor</a></li> <li><a href="/wiki/Inductor" title="Inductor">Inductor</a></li> <li><a href="/wiki/Capacitor" title="Capacitor">Capacitor</a></li> <li><a href="/wiki/Printed_electronics" title="Printed electronics">Printed electronics</a></li> <li><a href="/wiki/Printed_circuit_board" title="Printed circuit board">Printed circuit board</a></li> <li><a href="/wiki/Electronic_circuit" title="Electronic circuit">Electronic circuit</a></li> <li><a href="/wiki/Flip-flop_(electronics)" title="Flip-flop (electronics)">Flip-flop</a></li> <li><a href="/wiki/Memory_cell_(computing)" title="Memory cell (computing)">Memory cell</a></li> <li><a href="/wiki/Combinational_logic" title="Combinational logic">Combinational logic</a></li> <li><a href="/wiki/Sequential_logic" title="Sequential logic">Sequential logic</a></li> <li><a href="/wiki/Logic_gate" title="Logic gate">Logic gate</a></li> <li><a href="/wiki/Boolean_circuit" title="Boolean circuit">Boolean circuit</a></li> <li><a href="/wiki/Integrated_circuit" title="Integrated circuit">Integrated circuit</a> (IC)</li> <li><a href="/wiki/Hybrid_integrated_circuit" title="Hybrid integrated circuit">Hybrid integrated circuit</a> (HIC)</li> <li><a href="/wiki/Mixed-signal_integrated_circuit" title="Mixed-signal integrated circuit">Mixed-signal integrated circuit</a></li> <li><a href="/wiki/Three-dimensional_integrated_circuit" title="Three-dimensional integrated circuit">Three-dimensional integrated circuit</a> (3D IC)</li> <li><a href="/wiki/Emitter-coupled_logic" title="Emitter-coupled logic">Emitter-coupled logic</a> (ECL)</li> <li><a href="/wiki/Erasable_programmable_logic_device" class="mw-redirect" title="Erasable programmable logic device">Erasable programmable logic device</a> (EPLD)</li> <li><a href="/wiki/Macrocell_array" title="Macrocell array">Macrocell array</a></li> <li><a href="/wiki/Programmable_logic_array" title="Programmable logic array">Programmable logic array</a> (PLA)</li> <li><a href="/wiki/Programmable_logic_device" title="Programmable logic device">Programmable logic device</a> (PLD)</li> <li><a href="/wiki/Programmable_Array_Logic" title="Programmable Array Logic">Programmable Array Logic</a> (PAL)</li> <li><a href="/wiki/Generic_Array_Logic" title="Generic Array Logic">Generic Array Logic</a> (GAL)</li> <li><a href="/wiki/Complex_programmable_logic_device" title="Complex programmable logic device">Complex programmable logic device</a> (CPLD)</li> <li><a class="mw-selflink selflink">Field-programmable gate array</a> (FPGA)</li> <li><a href="/wiki/Field-programmable_object_array" title="Field-programmable object array">Field-programmable object array</a> (FPOA)</li> <li><a href="/wiki/Application-specific_integrated_circuit" title="Application-specific integrated circuit">Application-specific integrated circuit</a> (ASIC)</li> <li><a href="/wiki/Tensor_Processing_Unit" title="Tensor Processing Unit">Tensor Processing Unit</a> (TPU)</li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:center;">Theory</th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Digital_signal" title="Digital signal">Digital signal</a></li> <li><a href="/wiki/Boolean_algebra" title="Boolean algebra">Boolean algebra</a></li> <li><a href="/wiki/Logic_synthesis" title="Logic synthesis">Logic synthesis</a></li> <li><a href="/wiki/Logic_in_computer_science" title="Logic in computer science">Logic in computer science</a></li> <li><a href="/wiki/Computer_architecture" title="Computer architecture">Computer architecture</a></li> <li><a href="/wiki/Digital_signal_(signal_processing)" title="Digital signal (signal processing)">Digital signal</a> <ul><li><a href="/wiki/Digital_signal_processing" title="Digital signal processing">Digital signal processing</a></li></ul></li> <li><a href="/wiki/Circuit_minimization_for_Boolean_functions" class="mw-redirect" title="Circuit minimization for Boolean functions">Circuit minimization</a></li> <li><a href="/wiki/Switching_circuit_theory" title="Switching circuit theory">Switching circuit theory</a></li> <li><a href="/wiki/Gate_equivalent" title="Gate equivalent">Gate equivalent</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:center;"><a href="/wiki/Electronics_design" class="mw-redirect" title="Electronics design">Design</a></th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Logic_synthesis" title="Logic synthesis">Logic synthesis</a></li> <li><a href="/wiki/Place_and_route" title="Place and route">Place and route</a> <ul><li><a href="/wiki/Placement_(electronic_design_automation)" title="Placement (electronic design automation)">Placement</a></li> <li><a href="/wiki/Routing_(electronic_design_automation)" title="Routing (electronic design automation)">Routing</a></li></ul></li> <li><a href="/wiki/Transaction-level_modeling" title="Transaction-level modeling">Transaction-level modeling</a></li> <li><a href="/wiki/Register-transfer_level" title="Register-transfer level">Register-transfer level</a> <ul><li><a href="/wiki/Hardware_description_language" title="Hardware description language">Hardware description language</a></li> <li><a href="/wiki/High-level_synthesis" title="High-level synthesis">High-level synthesis</a></li></ul></li> <li><a href="/wiki/Formal_equivalence_checking" title="Formal equivalence checking">Formal equivalence checking</a></li> <li><a href="/wiki/Synchronous_circuit" title="Synchronous circuit">Synchronous logic</a></li> <li><a href="/wiki/Asynchronous_circuit" title="Asynchronous circuit">Asynchronous logic</a></li> <li><a href="/wiki/Finite-state_machine" title="Finite-state machine">Finite-state machine</a> <ul><li><a href="/wiki/Hierarchical_state_machine" class="mw-redirect" title="Hierarchical state machine">Hierarchical state machine</a></li></ul></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:center;">Applications</th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Computer_hardware" title="Computer hardware">Computer hardware</a> <ul><li><a href="/wiki/Hardware_acceleration" title="Hardware acceleration">Hardware acceleration</a></li></ul></li> <li><a href="/wiki/Digital_audio" title="Digital audio">Digital audio</a> <ul><li><a href="/wiki/Digital_radio" title="Digital radio">radio</a></li></ul></li> <li><a href="/wiki/Digital_photography" title="Digital photography">Digital photography</a></li> <li><a href="/wiki/Telephony#Digital_telephony" title="Telephony">Digital telephone</a></li> <li><a href="/wiki/Digital_video" title="Digital video">Digital video</a> <ul><li><a href="/wiki/Digital_cinematography" title="Digital cinematography">cinematography</a></li> <li><a href="/wiki/Digital_television" title="Digital television">television</a></li></ul></li> <li><a href="/wiki/Electronic_literature" title="Electronic literature">Electronic literature</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%;text-align:center;">Design issues</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Metastability_(electronics)" title="Metastability (electronics)">Metastability</a></li> <li><a href="/wiki/Runt_pulse" title="Runt pulse">Runt pulse</a></li></ul> </div></td></tr></tbody></table></div> <div class="navbox-styles"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1236075235"></div><div role="navigation" class="navbox" aria-labelledby="Programmable_logic" style="padding:3px"><table class="nowraplinks mw-collapsible autocollapse navbox-inner" style="border-spacing:0;background:transparent;color:inherit"><tbody><tr><th scope="col" class="navbox-title" colspan="2"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1239400231"><div class="navbar plainlinks hlist navbar-mini"><ul><li class="nv-view"><a href="/wiki/Template:Programmable_logic" title="Template:Programmable logic"><abbr title="View this template">v</abbr></a></li><li class="nv-talk"><a href="/wiki/Template_talk:Programmable_logic" title="Template talk:Programmable logic"><abbr title="Discuss this template">t</abbr></a></li><li class="nv-edit"><a href="/wiki/Special:EditPage/Template:Programmable_logic" title="Special:EditPage/Template:Programmable logic"><abbr title="Edit this template">e</abbr></a></li></ul></div><div id="Programmable_logic" style="font-size:114%;margin:0 4em"><a href="/wiki/Programmable_logic_device" title="Programmable logic device">Programmable logic</a></div></th></tr><tr><th scope="row" class="navbox-group" style="width:1%">Concepts</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Application-specific_integrated_circuit" title="Application-specific integrated circuit">ASIC</a></li> <li><a href="/wiki/System_on_a_chip" title="System on a chip">SoC</a></li> <li><a class="mw-selflink selflink">FPGA</a> <ul><li><a href="/wiki/Logic_block" title="Logic block">Logic block</a></li></ul></li> <li><a href="/wiki/Complex_programmable_logic_device" title="Complex programmable logic device">CPLD</a></li> <li><a href="/wiki/Programmable_logic_device#EPLDs" title="Programmable logic device">EPLD</a></li> <li><a href="/wiki/Programmable_logic_array" title="Programmable logic array">PLA</a></li> <li><a href="/wiki/Programmable_Array_Logic" title="Programmable Array Logic">PAL</a></li> <li><a href="/wiki/Generic_array_logic" class="mw-redirect" title="Generic array logic">GAL</a></li> <li><a href="/wiki/Cypress_PSoC" title="Cypress PSoC">PSoC</a></li> <li><a href="/wiki/Reconfigurable_computing" title="Reconfigurable computing">Reconfigurable computing</a> <ul><li><a href="/wiki/Xputer" title="Xputer">Xputer</a></li></ul></li> <li><a href="/wiki/Soft_microprocessor" title="Soft microprocessor">Soft microprocessor</a></li> <li><a href="/wiki/Circuit_underutilization" title="Circuit underutilization">Circuit underutilization</a></li> <li><a href="/wiki/High-level_synthesis" title="High-level synthesis">High-level synthesis</a></li> <li><a href="/wiki/Hardware_acceleration" title="Hardware acceleration">Hardware acceleration</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Hardware_description_language" title="Hardware description language">Languages</a></th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Verilog" title="Verilog">Verilog</a> <ul><li><a href="/wiki/Verilog-A" title="Verilog-A">A</a></li> <li><a href="/wiki/Verilog-AMS" title="Verilog-AMS">AMS</a></li></ul></li> <li><a href="/wiki/VHDL" title="VHDL">VHDL</a> <ul><li><a href="/wiki/VHDL-AMS" title="VHDL-AMS">AMS</a></li> <li><a href="/wiki/VHDL-VITAL" title="VHDL-VITAL">VITAL</a></li></ul></li> <li><a href="/wiki/SystemVerilog" title="SystemVerilog">SystemVerilog</a> <ul><li><a href="/wiki/SystemVerilog_DPI" title="SystemVerilog DPI">DPI</a></li></ul></li> <li><a href="/wiki/SystemC" title="SystemC">SystemC</a></li> <li><a href="/wiki/Altera_Hardware_Description_Language" title="Altera Hardware Description Language">AHDL</a></li> <li><a href="/wiki/Handel-C" title="Handel-C">Handel-C</a></li> <li><a href="/wiki/Lola_(computing)" title="Lola (computing)">Lola</a></li> <li><a href="/wiki/Property_Specification_Language" title="Property Specification Language">PSL</a></li> <li><a href="/wiki/Unified_Power_Format" title="Unified Power Format">UPF</a></li> <li><a href="/wiki/PALASM" title="PALASM">PALASM</a></li> <li><a href="/wiki/Advanced_Boolean_Expression_Language" title="Advanced Boolean Expression Language">ABEL</a></li> <li><a href="/wiki/Programmable_Array_Logic#CUPL" title="Programmable Array Logic">CUPL</a></li> <li><a href="/wiki/C_to_HDL" title="C to HDL">C to HDL</a></li> <li><a href="/wiki/Flow_to_HDL" title="Flow to HDL">Flow to HDL</a></li> <li><a href="/wiki/MyHDL" title="MyHDL">MyHDL</a></li> <li><a href="/wiki/ELLA_(programming_language)" title="ELLA (programming language)">ELLA</a></li> <li><a href="/wiki/Chisel_(programming_language)" title="Chisel (programming language)">Chisel</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Companies</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Accellera" title="Accellera">Accellera</a></li> <li><a href="/wiki/Achronix" title="Achronix">Achronix</a></li> <li><a href="/wiki/AMD" title="AMD">AMD</a></li> <li><a href="/wiki/Aldec" title="Aldec">Aldec</a></li> <li><a href="/wiki/Arm_Holdings" title="Arm Holdings">Arm</a></li> <li><a href="/wiki/Cadence_Design_Systems" title="Cadence Design Systems">Cadence</a></li> <li><a href="/wiki/Infineon_Technologies" title="Infineon Technologies">Infineon</a></li> <li><a href="/wiki/Intel" title="Intel">Intel</a></li> <li><a href="/wiki/Lattice_Semiconductor" title="Lattice Semiconductor">Lattice</a></li> <li><a href="/wiki/Microchip_Technology" title="Microchip Technology">Microchip Technology</a></li> <li><a href="/wiki/NXP_Semiconductors" title="NXP Semiconductors">NXP</a></li> <li><a href="/wiki/Siemens" title="Siemens">Siemens</a></li> <li><a href="/wiki/Synopsys" title="Synopsys">Synopsys</a></li> <li><a href="/wiki/Texas_Instruments" title="Texas Instruments">Texas Instruments</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Products</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"></div><table class="nowraplinks navbox-subgroup" style="border-spacing:0"><tbody><tr><th scope="row" class="navbox-group" style="width:1%">Hardware</th><td class="navbox-list-with-group navbox-list navbox-even" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/ICE_(FPGA)" title="ICE (FPGA)">iCE</a></li> <li><a href="/wiki/Stratix" title="Stratix">Stratix</a></li> <li><a href="/wiki/Virtex_(FPGA)" title="Virtex (FPGA)">Virtex</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Software</th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Intel_Quartus_Prime" title="Intel Quartus Prime">Intel Quartus Prime</a></li> <li><a href="/wiki/Xilinx_ISE" title="Xilinx ISE">Xilinx ISE</a></li> <li><a href="/wiki/Vivado" title="Vivado">Vivado</a></li> <li><a href="/wiki/ModelSim" title="ModelSim">ModelSim</a></li> <li><a href="/wiki/Verilog-to-Routing" title="Verilog-to-Routing">VTR</a></li> <li><a href="/wiki/List_of_HDL_simulators" title="List of HDL simulators">Simulators</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Intellectual_property" title="Intellectual property">Intellectual<br />property</a></th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"></div><table class="nowraplinks navbox-subgroup" style="border-spacing:0"><tbody><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Proprietary_hardware" title="Proprietary hardware">Proprietary</a></th><td class="navbox-list-with-group navbox-list navbox-even" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/ARC_(processor)" title="ARC (processor)">ARC</a></li> <li><a href="/wiki/ARM_Cortex-M" title="ARM Cortex-M">ARM Cortex-M</a></li> <li><a href="/wiki/LEON" title="LEON">LEON</a></li> <li><a href="/wiki/LatticeMico8" title="LatticeMico8">LatticeMico8</a></li> <li><a href="/wiki/MicroBlaze" title="MicroBlaze">MicroBlaze</a></li> <li><a href="/wiki/PicoBlaze" title="PicoBlaze">PicoBlaze</a></li> <li><a href="/wiki/Nios_embedded_processor" title="Nios embedded processor">Nios</a></li> <li><a href="/wiki/Nios_II" title="Nios II">Nios II</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Open-source_hardware" title="Open-source hardware">Open-source</a></th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Java_Optimized_Processor" title="Java Optimized Processor">JOP</a></li> <li><a href="/wiki/LatticeMico32" title="LatticeMico32">LatticeMico32</a></li> <li><a href="/wiki/OpenCores" title="OpenCores">OpenCores</a></li> <li><a href="/wiki/OpenRISC" title="OpenRISC">OpenRISC</a> <ul><li><a href="/wiki/OpenRISC_1200" title="OpenRISC 1200">1200</a></li></ul></li> <li><a href="/wiki/Power_ISA" title="Power ISA">Power ISA</a> <ul><li><a href="/wiki/Libre-SOC" title="Libre-SOC">Libre-SOC</a></li> <li><a href="/wiki/OpenPOWER_Microwatt" title="OpenPOWER Microwatt">Microwatt</a></li></ul></li> <li><a href="/wiki/RISC-V" title="RISC-V">RISC-V</a></li> <li><a href="/wiki/Zet_(hardware)" title="Zet (hardware)">Zet</a></li></ul> </div></td></tr></tbody></table><div></div></td></tr></tbody></table><div></div></td></tr></tbody></table></div> <div class="navbox-styles"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1236075235"></div><div role="navigation" class="navbox" aria-labelledby="Hardware_acceleration" style="padding:3px"><table class="nowraplinks mw-collapsible autocollapse navbox-inner" style="border-spacing:0;background:transparent;color:inherit"><tbody><tr><th scope="col" class="navbox-title" colspan="2"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1239400231"><div class="navbar plainlinks hlist navbar-mini"><ul><li class="nv-view"><a href="/wiki/Template:Hardware_acceleration" title="Template:Hardware acceleration"><abbr title="View this template">v</abbr></a></li><li class="nv-talk"><a href="/wiki/Template_talk:Hardware_acceleration" title="Template talk:Hardware acceleration"><abbr title="Discuss this template">t</abbr></a></li><li class="nv-edit"><a href="/wiki/Special:EditPage/Template:Hardware_acceleration" title="Special:EditPage/Template:Hardware acceleration"><abbr title="Edit this template">e</abbr></a></li></ul></div><div id="Hardware_acceleration" style="font-size:114%;margin:0 4em"><a href="/wiki/Hardware_acceleration" title="Hardware acceleration">Hardware acceleration</a></div></th></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Models_of_computation" class="mw-redirect" title="Models of computation">Theory</a></th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Universal_Turing_machine" title="Universal Turing machine">Universal Turing machine</a></li> <li><a href="/wiki/Parallel_computing" title="Parallel computing">Parallel computing</a></li> <li><a href="/wiki/Distributed_computing" title="Distributed computing">Distributed computing</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Applications</th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Graphics_processing_unit" title="Graphics processing unit">GPU</a> <ul><li><a href="/wiki/General-purpose_computing_on_graphics_processing_units" title="General-purpose computing on graphics processing units">GPGPU</a></li> <li><a href="/wiki/DirectX_Video_Acceleration" title="DirectX Video Acceleration">DirectX</a></li></ul></li> <li><a href="/wiki/Sound_card" title="Sound card">Audio</a></li> <li><a href="/wiki/Digital_signal_processor" title="Digital signal processor">Digital signal processing</a></li> <li><a href="/wiki/Hardware_random_number_generator" title="Hardware random number generator">Hardware random number generation</a></li> <li><a href="/wiki/AI_accelerator" title="AI accelerator">Artificial intelligence</a></li> <li><a href="/wiki/Cryptographic_accelerator" title="Cryptographic accelerator">Cryptography</a> <ul><li><a href="/wiki/TLS_acceleration" title="TLS acceleration">TLS</a></li></ul></li> <li><a href="/wiki/Vision_processing_unit" title="Vision processing unit">Machine vision</a></li> <li><a href="/wiki/Custom_hardware_attack" title="Custom hardware attack">Custom hardware attack</a> <ul><li><a href="/wiki/Scrypt" title="Scrypt">scrypt</a></li></ul></li> <li><a href="/wiki/Network_processor" title="Network processor">Networking</a></li> <li><a href="/wiki/Data_processing_unit" title="Data processing unit">Data</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Implementations</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/High-level_synthesis" title="High-level synthesis">High-level synthesis</a> <ul><li><a href="/wiki/C_to_HDL" title="C to HDL">C to HDL</a></li></ul></li> <li><a class="mw-selflink selflink">FPGA</a></li> <li><a href="/wiki/Application-specific_integrated_circuit" title="Application-specific integrated circuit">ASIC</a></li> <li><a href="/wiki/Complex_programmable_logic_device" title="Complex programmable logic device">CPLD</a></li> <li><a href="/wiki/System_on_a_chip" title="System on a chip">System on a chip</a> <ul><li><a href="/wiki/Network_on_a_chip" title="Network on a chip">Network on a chip</a></li></ul></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Computer_architecture" title="Computer architecture">Architectures</a></th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Dataflow_architecture" title="Dataflow architecture">Dataflow</a></li> <li><a href="/wiki/Transport_triggered_architecture" title="Transport triggered architecture">Transport triggered</a></li> <li><a href="/wiki/Multicore" class="mw-redirect" title="Multicore">Multicore</a></li> <li><a href="/wiki/Manycore" class="mw-redirect" title="Manycore">Manycore</a></li> <li><a href="/wiki/Heterogeneous_computing" title="Heterogeneous computing">Heterogeneous</a></li> <li><a href="/wiki/In-memory_processing" title="In-memory processing">In-memory computing</a></li> <li><a href="/wiki/Systolic_array" title="Systolic array">Systolic array</a></li> <li><a href="/wiki/Neuromorphic_engineering" class="mw-redirect" title="Neuromorphic engineering">Neuromorphic</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Related</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Programmable_logic" class="mw-redirect" title="Programmable logic">Programmable logic</a></li> <li><a href="/wiki/Processor_(computing)" title="Processor (computing)">Processor</a> <ul><li><a href="/wiki/Processor_design" title="Processor design">design</a></li> <li><a href="/wiki/Microprocessor_chronology" title="Microprocessor chronology">chronology</a></li></ul></li> <li><a href="/wiki/Digital_electronics" title="Digital electronics">Digital electronics</a></li> <li><a href="/wiki/Virtualization" title="Virtualization">Virtualization</a> <ul><li><a href="/wiki/Hardware_emulation" title="Hardware emulation">Hardware emulation</a></li></ul></li> <li><a href="/wiki/Logic_synthesis" title="Logic synthesis">Logic synthesis</a></li> <li><a href="/wiki/Embedded_system" title="Embedded system">Embedded systems</a></li></ul> </div></td></tr></tbody></table></div> <div class="navbox-styles"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1236075235"><style data-mw-deduplicate="TemplateStyles:r1038841319">.mw-parser-output .tooltip-dotted{border-bottom:1px dotted;cursor:help}</style></div><div role="navigation" class="navbox authority-control" aria-label="Navbox" style="padding:3px"><table class="nowraplinks hlist navbox-inner" style="border-spacing:0;background:transparent;color:inherit"><tbody><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Help:Authority_control" title="Help:Authority control">Authority control databases</a>: National <span class="mw-valign-text-top noprint" typeof="mw:File/Frameless"><a href="https://www.wikidata.org/wiki/Q190411#identifiers" title="Edit this at Wikidata"><img alt="Edit this at Wikidata" src="//upload.wikimedia.org/wikipedia/en/thumb/8/8a/OOjs_UI_icon_edit-ltr-progressive.svg/10px-OOjs_UI_icon_edit-ltr-progressive.svg.png" decoding="async" width="10" height="10" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/en/thumb/8/8a/OOjs_UI_icon_edit-ltr-progressive.svg/15px-OOjs_UI_icon_edit-ltr-progressive.svg.png 1.5x, //upload.wikimedia.org/wikipedia/en/thumb/8/8a/OOjs_UI_icon_edit-ltr-progressive.svg/20px-OOjs_UI_icon_edit-ltr-progressive.svg.png 2x" data-file-width="20" data-file-height="20" /></a></span></th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"><ul><li><span class="uid"><a rel="nofollow" class="external text" href="https://d-nb.info/gnd/4347749-5">Germany</a></span></li><li><span class="uid"><a rel="nofollow" class="external text" href="https://id.loc.gov/authorities/sh93009062">United States</a></span></li><li><span class="uid"><a rel="nofollow" class="external text" href="https://id.ndl.go.jp/auth/ndlna/001328304">Japan</a></span></li><li><span class="uid"><span class="rt-commentedText tooltip tooltip-dotted" title="FPGA obvody"><a rel="nofollow" class="external text" href="https://aleph.nkp.cz/F/?func=find-c&amp;local_base=aut&amp;ccl_term=ica=ph634651&amp;CON_LNG=ENG">Czech Republic</a></span></span></li><li><span class="uid"><a rel="nofollow" class="external text" href="http://olduli.nli.org.il/F/?func=find-b&amp;local_base=NLX10&amp;find_code=UID&amp;request=987007551420305171">Israel</a></span></li></ul></div></td></tr></tbody></table></div> <!-- NewPP limit report Parsed by mw‐web.eqiad.main‐5dc468848‐mkmlx Cached time: 20241122140336 Cache expiry: 2592000 Reduced expiry: false Complications: [vary‐revision‐sha1, show‐toc] CPU time usage: 0.917 seconds Real time usage: 1.164 seconds Preprocessor visited node count: 7287/1000000 Post‐expand include size: 245322/2097152 bytes Template argument size: 10870/2097152 bytes Highest expansion depth: 17/100 Expensive parser function count: 24/500 Unstrip recursion depth: 1/20 Unstrip post‐expand size: 276995/5000000 bytes Lua time usage: 0.500/10.000 seconds Lua memory usage: 7235559/52428800 bytes Number of Wikibase entities loaded: 1/400 --> <!-- Transclusion expansion time report (%,ms,calls,template) 100.00% 931.604 1 -total 42.06% 391.841 1 Template:Reflist 20.94% 195.055 38 Template:Cite_web 11.11% 103.489 8 Template:Navbox 9.34% 87.033 11 Template:Fix 9.14% 85.117 1 Template:Electronic_components 7.86% 73.212 1 Template:Short_description 5.42% 50.473 2 Template:Pagetype 5.22% 48.593 25 Template:Category_handler 3.98% 37.064 1 Template:Authority_control --> <!-- Saved in parser cache with key enwiki:pcache:idhash:10969-0!canonical and timestamp 20241122140336 and revision id 1257610395. Rendering was triggered because: page-view --> </div><!--esi <esi:include src="/esitest-fa8a495983347898/content" /> --><noscript><img src="https://login.wikimedia.org/wiki/Special:CentralAutoLogin/start?type=1x1" alt="" width="1" height="1" style="border: none; position: absolute;"></noscript> <div class="printfooter" data-nosnippet="">Retrieved from "<a dir="ltr" href="https://en.wikipedia.org/w/index.php?title=Field-programmable_gate_array&amp;oldid=1257610395">https://en.wikipedia.org/w/index.php?title=Field-programmable_gate_array&amp;oldid=1257610395</a>"</div></div> <div id="catlinks" class="catlinks" data-mw="interface"><div id="mw-normal-catlinks" class="mw-normal-catlinks"><a href="/wiki/Help:Category" title="Help:Category">Categories</a>: <ul><li><a href="/wiki/Category:Field-programmable_gate_arrays" title="Category:Field-programmable gate arrays">Field-programmable gate arrays</a></li><li><a href="/wiki/Category:Gate_arrays" title="Category:Gate arrays">Gate arrays</a></li><li><a href="/wiki/Category:Integrated_circuits" title="Category:Integrated circuits">Integrated circuits</a></li><li><a href="/wiki/Category:Semiconductor_devices" title="Category:Semiconductor devices">Semiconductor devices</a></li><li><a href="/wiki/Category:OpenCL_compute_devices" title="Category:OpenCL compute devices">OpenCL compute devices</a></li><li><a href="/wiki/Category:American_inventions" title="Category:American inventions">American inventions</a></li><li><a href="/wiki/Category:Hardware_acceleration" title="Category:Hardware acceleration">Hardware acceleration</a></li></ul></div><div id="mw-hidden-catlinks" class="mw-hidden-catlinks mw-hidden-cats-hidden">Hidden categories: <ul><li><a href="/wiki/Category:Webarchive_template_wayback_links" title="Category:Webarchive template wayback links">Webarchive template wayback links</a></li><li><a href="/wiki/Category:All_articles_with_dead_external_links" title="Category:All articles with dead external links">All articles with dead external links</a></li><li><a href="/wiki/Category:Articles_with_dead_external_links_from_February_2022" title="Category:Articles with dead external links from February 2022">Articles with dead external links from February 2022</a></li><li><a href="/wiki/Category:Articles_with_permanently_dead_external_links" title="Category:Articles with permanently dead external links">Articles with permanently dead external links</a></li><li><a href="/wiki/Category:All_articles_lacking_reliable_references" title="Category:All articles lacking reliable references">All articles lacking reliable references</a></li><li><a href="/wiki/Category:Articles_lacking_reliable_references_from_September_2024" title="Category:Articles lacking reliable references from September 2024">Articles lacking reliable references from September 2024</a></li><li><a href="/wiki/Category:Articles_with_short_description" title="Category:Articles with short description">Articles with short description</a></li><li><a href="/wiki/Category:Short_description_is_different_from_Wikidata" title="Category:Short description is different from Wikidata">Short description is different from Wikidata</a></li><li><a href="/wiki/Category:Use_American_English_from_April_2019" title="Category:Use American English from April 2019">Use American English from April 2019</a></li><li><a href="/wiki/Category:All_Wikipedia_articles_written_in_American_English" title="Category:All Wikipedia articles written in American English">All Wikipedia articles written in American English</a></li><li><a href="/wiki/Category:All_articles_with_failed_verification" title="Category:All articles with failed verification">All articles with failed verification</a></li><li><a href="/wiki/Category:Articles_with_failed_verification_from_December_2020" title="Category:Articles with failed verification from December 2020">Articles with failed verification from December 2020</a></li><li><a href="/wiki/Category:All_articles_with_unsourced_statements" title="Category:All articles with unsourced statements">All articles with unsourced statements</a></li><li><a href="/wiki/Category:Articles_with_unsourced_statements_from_November_2022" title="Category:Articles with unsourced statements from November 2022">Articles with unsourced statements from November 2022</a></li><li><a href="/wiki/Category:All_articles_with_self-published_sources" title="Category:All articles with self-published sources">All articles with self-published sources</a></li><li><a href="/wiki/Category:Articles_with_self-published_sources_from_February_2024" title="Category:Articles with self-published sources from February 2024">Articles with self-published sources from February 2024</a></li><li><a href="/wiki/Category:Wikipedia_articles_needing_clarification_from_July_2024" title="Category:Wikipedia articles needing clarification from July 2024">Wikipedia articles needing clarification from July 2024</a></li><li><a href="/wiki/Category:Articles_with_unsourced_statements_from_September_2024" title="Category:Articles with unsourced statements from September 2024">Articles with unsourced statements from September 2024</a></li><li><a href="/wiki/Category:Wikipedia_articles_needing_clarification_from_December_2018" title="Category:Wikipedia articles needing clarification from December 2018">Wikipedia articles needing clarification from December 2018</a></li><li><a href="/wiki/Category:Articles_containing_potentially_dated_statements_from_2018" title="Category:Articles containing potentially dated statements from 2018">Articles containing potentially dated statements from 2018</a></li><li><a href="/wiki/Category:All_articles_containing_potentially_dated_statements" title="Category:All articles containing potentially dated statements">All articles containing potentially dated statements</a></li><li><a href="/wiki/Category:All_articles_with_vague_or_ambiguous_time" title="Category:All articles with vague or ambiguous time">All articles with vague or ambiguous time</a></li><li><a href="/wiki/Category:Vague_or_ambiguous_time_from_October_2018" title="Category:Vague or ambiguous time from October 2018">Vague or ambiguous time from October 2018</a></li><li><a href="/wiki/Category:Articles_containing_potentially_dated_statements_from_2017" title="Category:Articles containing potentially dated statements from 2017">Articles containing potentially dated statements from 2017</a></li><li><a href="/wiki/Category:Articles_with_unsourced_statements_from_December_2023" title="Category:Articles with unsourced statements from December 2023">Articles with unsourced statements from December 2023</a></li><li><a href="/wiki/Category:Wikipedia_articles_needing_clarification_from_January_2013" title="Category:Wikipedia articles needing clarification from January 2013">Wikipedia articles needing clarification from January 2013</a></li><li><a href="/wiki/Category:Articles_with_unsourced_statements_from_December_2018" title="Category:Articles with unsourced statements from December 2018">Articles with unsourced statements from December 2018</a></li></ul></div></div> </div> </main> </div> <div class="mw-footer-container"> <footer id="footer" class="mw-footer" > <ul id="footer-info"> <li id="footer-info-lastmod"> This page was last edited on 15 November 2024, at 20:49<span class="anonymous-show">&#160;(UTC)</span>.</li> <li id="footer-info-copyright">Text is available under the <a href="/wiki/Wikipedia:Text_of_the_Creative_Commons_Attribution-ShareAlike_4.0_International_License" title="Wikipedia:Text of the Creative Commons Attribution-ShareAlike 4.0 International License">Creative Commons Attribution-ShareAlike 4.0 License</a>; additional terms may apply. By using this site, you agree to the <a href="https://foundation.wikimedia.org/wiki/Special:MyLanguage/Policy:Terms_of_Use" class="extiw" title="foundation:Special:MyLanguage/Policy:Terms of Use">Terms of Use</a> and <a href="https://foundation.wikimedia.org/wiki/Special:MyLanguage/Policy:Privacy_policy" class="extiw" title="foundation:Special:MyLanguage/Policy:Privacy policy">Privacy Policy</a>. Wikipedia® is a registered trademark of the <a rel="nofollow" class="external text" href="https://wikimediafoundation.org/">Wikimedia Foundation, Inc.</a>, a non-profit organization.</li> </ul> <ul id="footer-places"> <li id="footer-places-privacy"><a href="https://foundation.wikimedia.org/wiki/Special:MyLanguage/Policy:Privacy_policy">Privacy policy</a></li> <li id="footer-places-about"><a href="/wiki/Wikipedia:About">About Wikipedia</a></li> <li id="footer-places-disclaimers"><a href="/wiki/Wikipedia:General_disclaimer">Disclaimers</a></li> <li id="footer-places-contact"><a href="//en.wikipedia.org/wiki/Wikipedia:Contact_us">Contact Wikipedia</a></li> <li id="footer-places-wm-codeofconduct"><a href="https://foundation.wikimedia.org/wiki/Special:MyLanguage/Policy:Universal_Code_of_Conduct">Code of Conduct</a></li> <li id="footer-places-developers"><a href="https://developer.wikimedia.org">Developers</a></li> <li id="footer-places-statslink"><a href="https://stats.wikimedia.org/#/en.wikipedia.org">Statistics</a></li> <li id="footer-places-cookiestatement"><a href="https://foundation.wikimedia.org/wiki/Special:MyLanguage/Policy:Cookie_statement">Cookie statement</a></li> <li id="footer-places-mobileview"><a href="//en.m.wikipedia.org/w/index.php?title=Field-programmable_gate_array&amp;mobileaction=toggle_view_mobile" class="noprint stopMobileRedirectToggle">Mobile view</a></li> </ul> <ul id="footer-icons" class="noprint"> <li id="footer-copyrightico"><a href="https://wikimediafoundation.org/" class="cdx-button cdx-button--fake-button cdx-button--size-large cdx-button--fake-button--enabled"><img src="/static/images/footer/wikimedia-button.svg" width="84" height="29" alt="Wikimedia Foundation" loading="lazy"></a></li> <li id="footer-poweredbyico"><a href="https://www.mediawiki.org/" class="cdx-button cdx-button--fake-button cdx-button--size-large cdx-button--fake-button--enabled"><img src="/w/resources/assets/poweredby_mediawiki.svg" alt="Powered by MediaWiki" width="88" height="31" loading="lazy"></a></li> </ul> </footer> </div> </div> </div> <div class="vector-settings" id="p-dock-bottom"> <ul></ul> </div><script>(RLQ=window.RLQ||[]).push(function(){mw.config.set({"wgHostname":"mw-web.codfw.main-5f99787d6b-cx947","wgBackendResponseTime":170,"wgPageParseReport":{"limitreport":{"cputime":"0.917","walltime":"1.164","ppvisitednodes":{"value":7287,"limit":1000000},"postexpandincludesize":{"value":245322,"limit":2097152},"templateargumentsize":{"value":10870,"limit":2097152},"expansiondepth":{"value":17,"limit":100},"expensivefunctioncount":{"value":24,"limit":500},"unstrip-depth":{"value":1,"limit":20},"unstrip-size":{"value":276995,"limit":5000000},"entityaccesscount":{"value":1,"limit":400},"timingprofile":["100.00% 931.604 1 -total"," 42.06% 391.841 1 Template:Reflist"," 20.94% 195.055 38 Template:Cite_web"," 11.11% 103.489 8 Template:Navbox"," 9.34% 87.033 11 Template:Fix"," 9.14% 85.117 1 Template:Electronic_components"," 7.86% 73.212 1 Template:Short_description"," 5.42% 50.473 2 Template:Pagetype"," 5.22% 48.593 25 Template:Category_handler"," 3.98% 37.064 1 Template:Authority_control"]},"scribunto":{"limitreport-timeusage":{"value":"0.500","limit":"10.000"},"limitreport-memusage":{"value":7235559,"limit":52428800}},"cachereport":{"origin":"mw-web.eqiad.main-5dc468848-mkmlx","timestamp":"20241122140336","ttl":2592000,"transientcontent":false}}});});</script> <script type="application/ld+json">{"@context":"https:\/\/schema.org","@type":"Article","name":"Field-programmable gate array","url":"https:\/\/en.wikipedia.org\/wiki\/Field-programmable_gate_array","sameAs":"http:\/\/www.wikidata.org\/entity\/Q190411","mainEntity":"http:\/\/www.wikidata.org\/entity\/Q190411","author":{"@type":"Organization","name":"Contributors to Wikimedia projects"},"publisher":{"@type":"Organization","name":"Wikimedia Foundation, Inc.","logo":{"@type":"ImageObject","url":"https:\/\/www.wikimedia.org\/static\/images\/wmf-hor-googpub.png"}},"datePublished":"2001-08-10T21:44:52Z","dateModified":"2024-11-15T20:49:50Z","image":"https:\/\/upload.wikimedia.org\/wikipedia\/commons\/f\/fa\/Altera_StratixIVGX_FPGA.jpg","headline":"integrated circuit designed to be configured by a customer or a designer after manufacturing"}</script> </body> </html>

Pages: 1 2 3 4 5 6 7 8 9 10