CINXE.COM

TSMC - Wikipedia

<!DOCTYPE html> <html class="client-nojs vector-feature-language-in-header-enabled vector-feature-language-in-main-page-header-disabled vector-feature-page-tools-pinned-disabled vector-feature-toc-pinned-clientpref-1 vector-feature-main-menu-pinned-disabled vector-feature-limited-width-clientpref-1 vector-feature-limited-width-content-enabled vector-feature-custom-font-size-clientpref-1 vector-feature-appearance-pinned-clientpref-1 vector-feature-night-mode-enabled skin-theme-clientpref-day vector-sticky-header-enabled vector-toc-available" lang="en" dir="ltr"> <head> <meta charset="UTF-8"> <title>TSMC - Wikipedia</title> <script>(function(){var className="client-js vector-feature-language-in-header-enabled vector-feature-language-in-main-page-header-disabled vector-feature-page-tools-pinned-disabled vector-feature-toc-pinned-clientpref-1 vector-feature-main-menu-pinned-disabled vector-feature-limited-width-clientpref-1 vector-feature-limited-width-content-enabled vector-feature-custom-font-size-clientpref-1 vector-feature-appearance-pinned-clientpref-1 vector-feature-night-mode-enabled skin-theme-clientpref-day vector-sticky-header-enabled vector-toc-available";var cookie=document.cookie.match(/(?:^|; )enwikimwclientpreferences=([^;]+)/);if(cookie){cookie[1].split('%2C').forEach(function(pref){className=className.replace(new RegExp('(^| )'+pref.replace(/-clientpref-\w+$|[^\w-]+/g,'')+'-clientpref-\\w+( |$)'),'$1'+pref+'$2');});}document.documentElement.className=className;}());RLCONF={"wgBreakFrames":false,"wgSeparatorTransformTable":["",""],"wgDigitTransformTable":["",""],"wgDefaultDateFormat":"dmy","wgMonthNames":["","January","February","March","April","May","June","July","August","September","October","November","December"],"wgRequestId":"bd1fd1fb-8ed5-44f9-bca5-87001d75c6d5","wgCanonicalNamespace":"","wgCanonicalSpecialPageName":false,"wgNamespaceNumber":0,"wgPageName":"TSMC","wgTitle":"TSMC","wgCurRevisionId":1279887981,"wgRevisionId":1279887981,"wgArticleId":463574,"wgIsArticle":true,"wgIsRedirect":false,"wgAction":"view","wgUserName":null,"wgUserGroups":["*"],"wgCategories":["Pages with non-numeric formatnum arguments","CS1 Simplified Chinese-language sources (zh-hans)","CS1 French-language sources (fr)","CS1 Chinese (Taiwan)-language sources (zh-tw)","CS1 maint: archived copy as title","CS1 Japanese-language sources (ja)","Articles with short description","Short description is different from Wikidata","EngvarB from August 2016","Use dmy dates from July 2024","Articles containing Chinese-language text","All accuracy disputes","Articles with disputed statements from June 2022","Pages using gadget WikiMiniAtlas","All articles with unsourced statements","Articles with unsourced statements from May 2014","Commons category link from Wikidata","Electronics companies established in 1987","Taiwanese companies established in 1987","1993 initial public offerings","Manufacturing companies based in Hsinchu","Companies listed on the Taiwan Stock Exchange","Electronics companies of Taiwan","Semiconductor companies of Taiwan","Foundry semiconductor companies","Taiwanese brands","Technology companies of Taiwan","Companies listed on the New York Stock Exchange","Computer companies of Taiwan","Computer hardware companies","Companies in the Taiwan Capitalization Weighted Stock Index","Companies in the Dow Jones Global Titans 50","Companies in the S\u0026P Asia 50","MEMS factories"],"wgPageViewLanguage":"en","wgPageContentLanguage":"en","wgPageContentModel":"wikitext","wgRelevantPageName":"TSMC","wgRelevantArticleId":463574,"wgIsProbablyEditable":true,"wgRelevantPageIsProbablyEditable":true,"wgRestrictionEdit":[],"wgRestrictionMove":[],"wgNoticeProject":"wikipedia","wgCiteReferencePreviewsActive":false,"wgFlaggedRevsParams":{"tags":{"status":{"levels":1}}},"wgMediaViewerOnClick":true,"wgMediaViewerEnabledByDefault":true,"wgPopupsFlags":0,"wgVisualEditor":{"pageLanguageCode":"en","pageLanguageDir":"ltr","pageVariantFallbacks":"en"},"wgMFDisplayWikibaseDescriptions":{"search":true,"watchlist":true,"tagline":false,"nearby":true},"wgWMESchemaEditAttemptStepOversample":false,"wgWMEPageLength":100000,"wgEditSubmitButtonLabelPublish":true,"wgULSPosition":"interlanguage","wgULSisCompactLinksEnabled":false,"wgVector2022LanguageInHeader":true,"wgULSisLanguageSelectorEmpty":false,"wgWikibaseItemId":"Q713418","wgCheckUserClientHintsHeadersJsApi":["brands","architecture","bitness","fullVersionList","mobile","model","platform","platformVersion"],"GEHomepageSuggestedEditsEnableTopics":true,"wgGETopicsMatchModeEnabled":false,"wgGELevelingUpEnabledForUser":false}; RLSTATE={"ext.globalCssJs.user.styles":"ready","site.styles":"ready","user.styles":"ready","ext.globalCssJs.user":"ready","user":"ready","user.options":"loading","ext.cite.styles":"ready","skins.vector.search.codex.styles":"ready","skins.vector.styles":"ready","skins.vector.icons":"ready","jquery.tablesorter.styles":"ready","jquery.makeCollapsible.styles":"ready","ext.wikimediamessages.styles":"ready","ext.visualEditor.desktopArticleTarget.noscript":"ready","ext.uls.interlanguage":"ready","wikibase.client.init":"ready"};RLPAGEMODULES=["ext.cite.ux-enhancements","mediawiki.page.media","site","mediawiki.page.ready","jquery.tablesorter","jquery.makeCollapsible","mediawiki.toc","skins.vector.js","ext.centralNotice.geoIP","ext.centralNotice.startUp","ext.gadget.ReferenceTooltips","ext.gadget.switcher","ext.gadget.WikiMiniAtlas","ext.urlShortener.toolbar","ext.centralauth.centralautologin","mmv.bootstrap","ext.popups","ext.visualEditor.desktopArticleTarget.init","ext.visualEditor.targetLoader","ext.echo.centralauth","ext.eventLogging","ext.wikimediaEvents","ext.navigationTiming","ext.uls.interface","ext.cx.eventlogging.campaigns","ext.cx.uls.quick.actions","wikibase.client.vector-2022","ext.checkUser.clientHints","ext.growthExperiments.SuggestedEditSession"];</script> <script>(RLQ=window.RLQ||[]).push(function(){mw.loader.impl(function(){return["user.options@12s5i",function($,jQuery,require,module){mw.user.tokens.set({"patrolToken":"+\\","watchToken":"+\\","csrfToken":"+\\"}); }];});});</script> <link rel="stylesheet" href="/w/load.php?lang=en&amp;modules=ext.cite.styles%7Cext.uls.interlanguage%7Cext.visualEditor.desktopArticleTarget.noscript%7Cext.wikimediamessages.styles%7Cjquery.makeCollapsible.styles%7Cjquery.tablesorter.styles%7Cskins.vector.icons%2Cstyles%7Cskins.vector.search.codex.styles%7Cwikibase.client.init&amp;only=styles&amp;skin=vector-2022"> <script async="" src="/w/load.php?lang=en&amp;modules=startup&amp;only=scripts&amp;raw=1&amp;skin=vector-2022"></script> <meta name="ResourceLoaderDynamicStyles" content=""> <link rel="stylesheet" href="/w/load.php?lang=en&amp;modules=site.styles&amp;only=styles&amp;skin=vector-2022"> <meta name="generator" content="MediaWiki 1.44.0-wmf.21"> <meta name="referrer" content="origin"> <meta name="referrer" content="origin-when-cross-origin"> <meta name="robots" content="max-image-preview:standard"> <meta name="format-detection" content="telephone=no"> <meta property="og:image" content="https://upload.wikimedia.org/wikipedia/en/thumb/6/63/Tsmc.svg/1200px-Tsmc.svg.png"> <meta property="og:image:width" content="1200"> <meta property="og:image:height" content="945"> <meta property="og:image" content="https://upload.wikimedia.org/wikipedia/en/thumb/6/63/Tsmc.svg/800px-Tsmc.svg.png"> <meta property="og:image:width" content="800"> <meta property="og:image:height" content="630"> <meta property="og:image" content="https://upload.wikimedia.org/wikipedia/en/thumb/6/63/Tsmc.svg/640px-Tsmc.svg.png"> <meta property="og:image:width" content="640"> <meta property="og:image:height" content="504"> <meta name="viewport" content="width=1120"> <meta property="og:title" content="TSMC - Wikipedia"> <meta property="og:type" content="website"> <link rel="preconnect" href="//upload.wikimedia.org"> <link rel="alternate" media="only screen and (max-width: 640px)" href="//en.m.wikipedia.org/wiki/TSMC"> <link rel="alternate" type="application/x-wiki" title="Edit this page" href="/w/index.php?title=TSMC&amp;action=edit"> <link rel="apple-touch-icon" href="/static/apple-touch/wikipedia.png"> <link rel="icon" href="/static/favicon/wikipedia.ico"> <link rel="search" type="application/opensearchdescription+xml" href="/w/rest.php/v1/search" title="Wikipedia (en)"> <link rel="EditURI" type="application/rsd+xml" href="//en.wikipedia.org/w/api.php?action=rsd"> <link rel="canonical" href="https://en.wikipedia.org/wiki/TSMC"> <link rel="license" href="https://creativecommons.org/licenses/by-sa/4.0/deed.en"> <link rel="alternate" type="application/atom+xml" title="Wikipedia Atom feed" href="/w/index.php?title=Special:RecentChanges&amp;feed=atom"> <link rel="dns-prefetch" href="//meta.wikimedia.org" /> <link rel="dns-prefetch" href="login.wikimedia.org"> </head> <body class="skin--responsive skin-vector skin-vector-search-vue mediawiki ltr sitedir-ltr mw-hide-empty-elt ns-0 ns-subject mw-editable page-TSMC rootpage-TSMC skin-vector-2022 action-view"><a class="mw-jump-link" href="#bodyContent">Jump to content</a> <div class="vector-header-container"> <header class="vector-header mw-header"> <div class="vector-header-start"> <nav class="vector-main-menu-landmark" aria-label="Site"> <div id="vector-main-menu-dropdown" class="vector-dropdown vector-main-menu-dropdown vector-button-flush-left vector-button-flush-right" title="Main menu" > <input type="checkbox" id="vector-main-menu-dropdown-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-main-menu-dropdown" class="vector-dropdown-checkbox " aria-label="Main menu" > <label id="vector-main-menu-dropdown-label" for="vector-main-menu-dropdown-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only " aria-hidden="true" ><span class="vector-icon mw-ui-icon-menu mw-ui-icon-wikimedia-menu"></span> <span class="vector-dropdown-label-text">Main menu</span> </label> <div class="vector-dropdown-content"> <div id="vector-main-menu-unpinned-container" class="vector-unpinned-container"> <div id="vector-main-menu" class="vector-main-menu vector-pinnable-element"> <div class="vector-pinnable-header vector-main-menu-pinnable-header vector-pinnable-header-unpinned" data-feature-name="main-menu-pinned" data-pinnable-element-id="vector-main-menu" data-pinned-container-id="vector-main-menu-pinned-container" data-unpinned-container-id="vector-main-menu-unpinned-container" > <div class="vector-pinnable-header-label">Main menu</div> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-pin-button" data-event-name="pinnable-header.vector-main-menu.pin">move to sidebar</button> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-unpin-button" data-event-name="pinnable-header.vector-main-menu.unpin">hide</button> </div> <div id="p-navigation" class="vector-menu mw-portlet mw-portlet-navigation" > <div class="vector-menu-heading"> Navigation </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="n-mainpage-description" class="mw-list-item"><a href="/wiki/Main_Page" title="Visit the main page [z]" accesskey="z"><span>Main page</span></a></li><li id="n-contents" class="mw-list-item"><a href="/wiki/Wikipedia:Contents" title="Guides to browsing Wikipedia"><span>Contents</span></a></li><li id="n-currentevents" class="mw-list-item"><a href="/wiki/Portal:Current_events" title="Articles related to current events"><span>Current events</span></a></li><li id="n-randompage" class="mw-list-item"><a href="/wiki/Special:Random" title="Visit a randomly selected article [x]" accesskey="x"><span>Random article</span></a></li><li id="n-aboutsite" class="mw-list-item"><a href="/wiki/Wikipedia:About" title="Learn about Wikipedia and how it works"><span>About Wikipedia</span></a></li><li id="n-contactpage" class="mw-list-item"><a href="//en.wikipedia.org/wiki/Wikipedia:Contact_us" title="How to contact Wikipedia"><span>Contact us</span></a></li> </ul> </div> </div> <div id="p-interaction" class="vector-menu mw-portlet mw-portlet-interaction" > <div class="vector-menu-heading"> Contribute </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="n-help" class="mw-list-item"><a href="/wiki/Help:Contents" title="Guidance on how to use and edit Wikipedia"><span>Help</span></a></li><li id="n-introduction" class="mw-list-item"><a href="/wiki/Help:Introduction" title="Learn how to edit Wikipedia"><span>Learn to edit</span></a></li><li id="n-portal" class="mw-list-item"><a href="/wiki/Wikipedia:Community_portal" title="The hub for editors"><span>Community portal</span></a></li><li id="n-recentchanges" class="mw-list-item"><a href="/wiki/Special:RecentChanges" title="A list of recent changes to Wikipedia [r]" accesskey="r"><span>Recent changes</span></a></li><li id="n-upload" class="mw-list-item"><a href="/wiki/Wikipedia:File_upload_wizard" title="Add images or other media for use on Wikipedia"><span>Upload file</span></a></li><li id="n-specialpages" class="mw-list-item"><a href="/wiki/Special:SpecialPages"><span>Special pages</span></a></li> </ul> </div> </div> </div> </div> </div> </div> </nav> <a href="/wiki/Main_Page" class="mw-logo"> <img class="mw-logo-icon" src="/static/images/icons/wikipedia.png" alt="" aria-hidden="true" height="50" width="50"> <span class="mw-logo-container skin-invert"> <img class="mw-logo-wordmark" alt="Wikipedia" src="/static/images/mobile/copyright/wikipedia-wordmark-en.svg" style="width: 7.5em; height: 1.125em;"> <img class="mw-logo-tagline" alt="The Free Encyclopedia" src="/static/images/mobile/copyright/wikipedia-tagline-en.svg" width="117" height="13" style="width: 7.3125em; height: 0.8125em;"> </span> </a> </div> <div class="vector-header-end"> <div id="p-search" role="search" class="vector-search-box-vue vector-search-box-collapses vector-search-box-show-thumbnail vector-search-box-auto-expand-width vector-search-box"> <a href="/wiki/Special:Search" class="cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only search-toggle" title="Search Wikipedia [f]" accesskey="f"><span class="vector-icon mw-ui-icon-search mw-ui-icon-wikimedia-search"></span> <span>Search</span> </a> <div class="vector-typeahead-search-container"> <div class="cdx-typeahead-search cdx-typeahead-search--show-thumbnail cdx-typeahead-search--auto-expand-width"> <form action="/w/index.php" id="searchform" class="cdx-search-input cdx-search-input--has-end-button"> <div id="simpleSearch" class="cdx-search-input__input-wrapper" data-search-loc="header-moved"> <div class="cdx-text-input cdx-text-input--has-start-icon"> <input class="cdx-text-input__input" type="search" name="search" placeholder="Search Wikipedia" aria-label="Search Wikipedia" autocapitalize="sentences" title="Search Wikipedia [f]" accesskey="f" id="searchInput" > <span class="cdx-text-input__icon cdx-text-input__start-icon"></span> </div> <input type="hidden" name="title" value="Special:Search"> </div> <button class="cdx-button cdx-search-input__end-button">Search</button> </form> </div> </div> </div> <nav class="vector-user-links vector-user-links-wide" aria-label="Personal tools"> <div class="vector-user-links-main"> <div id="p-vector-user-menu-preferences" class="vector-menu mw-portlet emptyPortlet" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> </ul> </div> </div> <div id="p-vector-user-menu-userpage" class="vector-menu mw-portlet emptyPortlet" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> </ul> </div> </div> <nav class="vector-appearance-landmark" aria-label="Appearance"> <div id="vector-appearance-dropdown" class="vector-dropdown " title="Change the appearance of the page&#039;s font size, width, and color" > <input type="checkbox" id="vector-appearance-dropdown-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-appearance-dropdown" class="vector-dropdown-checkbox " aria-label="Appearance" > <label id="vector-appearance-dropdown-label" for="vector-appearance-dropdown-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only " aria-hidden="true" ><span class="vector-icon mw-ui-icon-appearance mw-ui-icon-wikimedia-appearance"></span> <span class="vector-dropdown-label-text">Appearance</span> </label> <div class="vector-dropdown-content"> <div id="vector-appearance-unpinned-container" class="vector-unpinned-container"> </div> </div> </div> </nav> <div id="p-vector-user-menu-notifications" class="vector-menu mw-portlet emptyPortlet" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> </ul> </div> </div> <div id="p-vector-user-menu-overflow" class="vector-menu mw-portlet" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="pt-sitesupport-2" class="user-links-collapsible-item mw-list-item user-links-collapsible-item"><a data-mw="interface" href="https://donate.wikimedia.org/?wmf_source=donate&amp;wmf_medium=sidebar&amp;wmf_campaign=en.wikipedia.org&amp;uselang=en" class=""><span>Donate</span></a> </li> <li id="pt-createaccount-2" class="user-links-collapsible-item mw-list-item user-links-collapsible-item"><a data-mw="interface" href="/w/index.php?title=Special:CreateAccount&amp;returnto=TSMC" title="You are encouraged to create an account and log in; however, it is not mandatory" class=""><span>Create account</span></a> </li> <li id="pt-login-2" class="user-links-collapsible-item mw-list-item user-links-collapsible-item"><a data-mw="interface" href="/w/index.php?title=Special:UserLogin&amp;returnto=TSMC" title="You&#039;re encouraged to log in; however, it&#039;s not mandatory. [o]" accesskey="o" class=""><span>Log in</span></a> </li> </ul> </div> </div> </div> <div id="vector-user-links-dropdown" class="vector-dropdown vector-user-menu vector-button-flush-right vector-user-menu-logged-out" title="Log in and more options" > <input type="checkbox" id="vector-user-links-dropdown-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-user-links-dropdown" class="vector-dropdown-checkbox " aria-label="Personal tools" > <label id="vector-user-links-dropdown-label" for="vector-user-links-dropdown-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only " aria-hidden="true" ><span class="vector-icon mw-ui-icon-ellipsis mw-ui-icon-wikimedia-ellipsis"></span> <span class="vector-dropdown-label-text">Personal tools</span> </label> <div class="vector-dropdown-content"> <div id="p-personal" class="vector-menu mw-portlet mw-portlet-personal user-links-collapsible-item" title="User menu" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="pt-sitesupport" class="user-links-collapsible-item mw-list-item"><a href="https://donate.wikimedia.org/?wmf_source=donate&amp;wmf_medium=sidebar&amp;wmf_campaign=en.wikipedia.org&amp;uselang=en"><span>Donate</span></a></li><li id="pt-createaccount" class="user-links-collapsible-item mw-list-item"><a href="/w/index.php?title=Special:CreateAccount&amp;returnto=TSMC" title="You are encouraged to create an account and log in; however, it is not mandatory"><span class="vector-icon mw-ui-icon-userAdd mw-ui-icon-wikimedia-userAdd"></span> <span>Create account</span></a></li><li id="pt-login" class="user-links-collapsible-item mw-list-item"><a href="/w/index.php?title=Special:UserLogin&amp;returnto=TSMC" title="You&#039;re encouraged to log in; however, it&#039;s not mandatory. [o]" accesskey="o"><span class="vector-icon mw-ui-icon-logIn mw-ui-icon-wikimedia-logIn"></span> <span>Log in</span></a></li> </ul> </div> </div> <div id="p-user-menu-anon-editor" class="vector-menu mw-portlet mw-portlet-user-menu-anon-editor" > <div class="vector-menu-heading"> Pages for logged out editors <a href="/wiki/Help:Introduction" aria-label="Learn more about editing"><span>learn more</span></a> </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="pt-anoncontribs" class="mw-list-item"><a href="/wiki/Special:MyContributions" title="A list of edits made from this IP address [y]" accesskey="y"><span>Contributions</span></a></li><li id="pt-anontalk" class="mw-list-item"><a href="/wiki/Special:MyTalk" title="Discussion about edits from this IP address [n]" accesskey="n"><span>Talk</span></a></li> </ul> </div> </div> </div> </div> </nav> </div> </header> </div> <div class="mw-page-container"> <div class="mw-page-container-inner"> <div class="vector-sitenotice-container"> <div id="siteNotice"><!-- CentralNotice --></div> </div> <div class="vector-column-start"> <div class="vector-main-menu-container"> <div id="mw-navigation"> <nav id="mw-panel" class="vector-main-menu-landmark" aria-label="Site"> <div id="vector-main-menu-pinned-container" class="vector-pinned-container"> </div> </nav> </div> </div> <div class="vector-sticky-pinned-container"> <nav id="mw-panel-toc" aria-label="Contents" data-event-name="ui.sidebar-toc" class="mw-table-of-contents-container vector-toc-landmark"> <div id="vector-toc-pinned-container" class="vector-pinned-container"> <div id="vector-toc" class="vector-toc vector-pinnable-element"> <div class="vector-pinnable-header vector-toc-pinnable-header vector-pinnable-header-pinned" data-feature-name="toc-pinned" data-pinnable-element-id="vector-toc" > <h2 class="vector-pinnable-header-label">Contents</h2> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-pin-button" data-event-name="pinnable-header.vector-toc.pin">move to sidebar</button> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-unpin-button" data-event-name="pinnable-header.vector-toc.unpin">hide</button> </div> <ul class="vector-toc-contents" id="mw-panel-toc-list"> <li id="toc-mw-content-text" class="vector-toc-list-item vector-toc-level-1"> <a href="#" class="vector-toc-link"> <div class="vector-toc-text">(Top)</div> </a> </li> <li id="toc-History" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#History"> <div class="vector-toc-text"> <span class="vector-toc-numb">1</span> <span>History</span> </div> </a> <button aria-controls="toc-History-sublist" class="cdx-button cdx-button--weight-quiet cdx-button--icon-only vector-toc-toggle"> <span class="vector-icon mw-ui-icon-wikimedia-expand"></span> <span>Toggle History subsection</span> </button> <ul id="toc-History-sublist" class="vector-toc-list"> <li id="toc-Patent_dispute_with_GlobalFoundries" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Patent_dispute_with_GlobalFoundries"> <div class="vector-toc-text"> <span class="vector-toc-numb">1.1</span> <span>Patent dispute with GlobalFoundries</span> </div> </a> <ul id="toc-Patent_dispute_with_GlobalFoundries-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-Corporate_affairs" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#Corporate_affairs"> <div class="vector-toc-text"> <span class="vector-toc-numb">2</span> <span>Corporate affairs</span> </div> </a> <button aria-controls="toc-Corporate_affairs-sublist" class="cdx-button cdx-button--weight-quiet cdx-button--icon-only vector-toc-toggle"> <span class="vector-icon mw-ui-icon-wikimedia-expand"></span> <span>Toggle Corporate affairs subsection</span> </button> <ul id="toc-Corporate_affairs-sublist" class="vector-toc-list"> <li id="toc-Senior_leadership" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Senior_leadership"> <div class="vector-toc-text"> <span class="vector-toc-numb">2.1</span> <span>Senior leadership</span> </div> </a> <ul id="toc-Senior_leadership-sublist" class="vector-toc-list"> <li id="toc-List_of_former_chairmen" class="vector-toc-list-item vector-toc-level-3"> <a class="vector-toc-link" href="#List_of_former_chairmen"> <div class="vector-toc-text"> <span class="vector-toc-numb">2.1.1</span> <span>List of former chairmen</span> </div> </a> <ul id="toc-List_of_former_chairmen-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-List_of_former_chief_executives" class="vector-toc-list-item vector-toc-level-3"> <a class="vector-toc-link" href="#List_of_former_chief_executives"> <div class="vector-toc-text"> <span class="vector-toc-numb">2.1.2</span> <span>List of former chief executives</span> </div> </a> <ul id="toc-List_of_former_chief_executives-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-Business_trends" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Business_trends"> <div class="vector-toc-text"> <span class="vector-toc-numb">2.2</span> <span>Business trends</span> </div> </a> <ul id="toc-Business_trends-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Ownership" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Ownership"> <div class="vector-toc-text"> <span class="vector-toc-numb">2.3</span> <span>Ownership</span> </div> </a> <ul id="toc-Ownership-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-Technologies" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#Technologies"> <div class="vector-toc-text"> <span class="vector-toc-numb">3</span> <span>Technologies</span> </div> </a> <ul id="toc-Technologies-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Production_capabilities" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#Production_capabilities"> <div class="vector-toc-text"> <span class="vector-toc-numb">4</span> <span>Production capabilities</span> </div> </a> <ul id="toc-Production_capabilities-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Facilities" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#Facilities"> <div class="vector-toc-text"> <span class="vector-toc-numb">5</span> <span>Facilities</span> </div> </a> <button aria-controls="toc-Facilities-sublist" class="cdx-button cdx-button--weight-quiet cdx-button--icon-only vector-toc-toggle"> <span class="vector-icon mw-ui-icon-wikimedia-expand"></span> <span>Toggle Facilities subsection</span> </button> <ul id="toc-Facilities-sublist" class="vector-toc-list"> <li id="toc-Central_Taiwan_Science_Park" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Central_Taiwan_Science_Park"> <div class="vector-toc-text"> <span class="vector-toc-numb">5.1</span> <span>Central Taiwan Science Park</span> </div> </a> <ul id="toc-Central_Taiwan_Science_Park-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Arizona" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Arizona"> <div class="vector-toc-text"> <span class="vector-toc-numb">5.2</span> <span>Arizona</span> </div> </a> <ul id="toc-Arizona-sublist" class="vector-toc-list"> <li id="toc-Halo_Vista" class="vector-toc-list-item vector-toc-level-3"> <a class="vector-toc-link" href="#Halo_Vista"> <div class="vector-toc-text"> <span class="vector-toc-numb">5.2.1</span> <span>Halo Vista</span> </div> </a> <ul id="toc-Halo_Vista-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-Washington" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Washington"> <div class="vector-toc-text"> <span class="vector-toc-numb">5.3</span> <span>Washington</span> </div> </a> <ul id="toc-Washington-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Japan" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Japan"> <div class="vector-toc-text"> <span class="vector-toc-numb">5.4</span> <span>Japan</span> </div> </a> <ul id="toc-Japan-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Germany" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Germany"> <div class="vector-toc-text"> <span class="vector-toc-numb">5.5</span> <span>Germany</span> </div> </a> <ul id="toc-Germany-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-See_also" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#See_also"> <div class="vector-toc-text"> <span class="vector-toc-numb">6</span> <span>See also</span> </div> </a> <ul id="toc-See_also-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-References" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#References"> <div class="vector-toc-text"> <span class="vector-toc-numb">7</span> <span>References</span> </div> </a> <ul id="toc-References-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-External_links" class="vector-toc-list-item vector-toc-level-1 vector-toc-list-item-expanded"> <a class="vector-toc-link" href="#External_links"> <div class="vector-toc-text"> <span class="vector-toc-numb">8</span> <span>External links</span> </div> </a> <ul id="toc-External_links-sublist" class="vector-toc-list"> </ul> </li> </ul> </div> </div> </nav> </div> </div> <div class="mw-content-container"> <main id="content" class="mw-body"> <header class="mw-body-header vector-page-titlebar"> <nav aria-label="Contents" class="vector-toc-landmark"> <div id="vector-page-titlebar-toc" class="vector-dropdown vector-page-titlebar-toc vector-button-flush-left" title="Table of Contents" > <input type="checkbox" id="vector-page-titlebar-toc-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-page-titlebar-toc" class="vector-dropdown-checkbox " aria-label="Toggle the table of contents" > <label id="vector-page-titlebar-toc-label" for="vector-page-titlebar-toc-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only " aria-hidden="true" ><span class="vector-icon mw-ui-icon-listBullet mw-ui-icon-wikimedia-listBullet"></span> <span class="vector-dropdown-label-text">Toggle the table of contents</span> </label> <div class="vector-dropdown-content"> <div id="vector-page-titlebar-toc-unpinned-container" class="vector-unpinned-container"> </div> </div> </div> </nav> <h1 id="firstHeading" class="firstHeading mw-first-heading"><span class="mw-page-title-main">TSMC</span></h1> <div id="p-lang-btn" class="vector-dropdown mw-portlet mw-portlet-lang" > <input type="checkbox" id="p-lang-btn-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-p-lang-btn" class="vector-dropdown-checkbox mw-interlanguage-selector" aria-label="Go to an article in another language. Available in 44 languages" > <label id="p-lang-btn-label" for="p-lang-btn-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--action-progressive mw-portlet-lang-heading-44" aria-hidden="true" ><span class="vector-icon mw-ui-icon-language-progressive mw-ui-icon-wikimedia-language-progressive"></span> <span class="vector-dropdown-label-text">44 languages</span> </label> <div class="vector-dropdown-content"> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li class="interlanguage-link interwiki-af mw-list-item"><a href="https://af.wikipedia.org/wiki/TSMC" title="TSMC – Afrikaans" lang="af" hreflang="af" data-title="TSMC" data-language-autonym="Afrikaans" data-language-local-name="Afrikaans" class="interlanguage-link-target"><span>Afrikaans</span></a></li><li class="interlanguage-link interwiki-ar mw-list-item"><a href="https://ar.wikipedia.org/wiki/%D8%B4%D8%B1%D9%83%D8%A9_%D8%AA%D8%A7%D9%8A%D9%88%D8%A7%D9%86_%D9%84%D8%B5%D9%86%D8%A7%D8%B9%D8%A9_%D8%A3%D8%B4%D8%A8%D8%A7%D9%87_%D8%A7%D9%84%D9%85%D9%88%D8%B5%D9%84%D8%A7%D8%AA_%D8%A7%D9%84%D9%85%D8%AD%D8%AF%D9%88%D8%AF%D8%A9" title="شركة تايوان لصناعة أشباه الموصلات المحدودة – Arabic" lang="ar" hreflang="ar" data-title="شركة تايوان لصناعة أشباه الموصلات المحدودة" data-language-autonym="العربية" data-language-local-name="Arabic" class="interlanguage-link-target"><span>العربية</span></a></li><li class="interlanguage-link interwiki-azb mw-list-item"><a href="https://azb.wikipedia.org/wiki/%D8%AA%DB%8C%E2%80%8C%D8%A7%D8%B3%E2%80%8C%D8%A7%D9%85%E2%80%8C%D8%B3%DB%8C" title="تی‌اس‌ام‌سی – South Azerbaijani" lang="azb" hreflang="azb" data-title="تی‌اس‌ام‌سی" data-language-autonym="تۆرکجه" data-language-local-name="South Azerbaijani" class="interlanguage-link-target"><span>تۆرکجه</span></a></li><li class="interlanguage-link interwiki-bn mw-list-item"><a href="https://bn.wikipedia.org/wiki/%E0%A6%9F%E0%A6%BF%E0%A6%8F%E0%A6%B8%E0%A6%8F%E0%A6%AE%E0%A6%B8%E0%A6%BF" title="টিএসএমসি – Bangla" lang="bn" hreflang="bn" data-title="টিএসএমসি" data-language-autonym="বাংলা" data-language-local-name="Bangla" class="interlanguage-link-target"><span>বাংলা</span></a></li><li class="interlanguage-link interwiki-zh-min-nan mw-list-item"><a href="https://zh-min-nan.wikipedia.org/wiki/T%C3%A2i-o%C3%A2n_Chek-th%C3%A9_Ti%C4%81n-l%C5%8D%CD%98_Ch%C3%A8-ch%C5%8D" title="Tâi-oân Chek-thé Tiān-lō͘ Chè-chō – Minnan" lang="nan" hreflang="nan" data-title="Tâi-oân Chek-thé Tiān-lō͘ Chè-chō" data-language-autonym="閩南語 / Bân-lâm-gú" data-language-local-name="Minnan" class="interlanguage-link-target"><span>閩南語 / Bân-lâm-gú</span></a></li><li class="interlanguage-link interwiki-bg mw-list-item"><a href="https://bg.wikipedia.org/wiki/TSMC" title="TSMC – Bulgarian" lang="bg" hreflang="bg" data-title="TSMC" data-language-autonym="Български" data-language-local-name="Bulgarian" class="interlanguage-link-target"><span>Български</span></a></li><li class="interlanguage-link interwiki-ca mw-list-item"><a href="https://ca.wikipedia.org/wiki/TSMC" title="TSMC – Catalan" lang="ca" hreflang="ca" data-title="TSMC" data-language-autonym="Català" data-language-local-name="Catalan" class="interlanguage-link-target"><span>Català</span></a></li><li class="interlanguage-link interwiki-cs mw-list-item"><a href="https://cs.wikipedia.org/wiki/TSMC" title="TSMC – Czech" lang="cs" hreflang="cs" data-title="TSMC" data-language-autonym="Čeština" data-language-local-name="Czech" class="interlanguage-link-target"><span>Čeština</span></a></li><li class="interlanguage-link interwiki-da mw-list-item"><a href="https://da.wikipedia.org/wiki/Taiwan_Semiconductor_Manufacturing_Company" title="Taiwan Semiconductor Manufacturing Company – Danish" lang="da" hreflang="da" data-title="Taiwan Semiconductor Manufacturing Company" data-language-autonym="Dansk" data-language-local-name="Danish" class="interlanguage-link-target"><span>Dansk</span></a></li><li class="interlanguage-link interwiki-de mw-list-item"><a href="https://de.wikipedia.org/wiki/TSMC" title="TSMC – German" lang="de" hreflang="de" data-title="TSMC" data-language-autonym="Deutsch" data-language-local-name="German" class="interlanguage-link-target"><span>Deutsch</span></a></li><li class="interlanguage-link interwiki-et mw-list-item"><a href="https://et.wikipedia.org/wiki/TSMC" title="TSMC – Estonian" lang="et" hreflang="et" data-title="TSMC" data-language-autonym="Eesti" data-language-local-name="Estonian" class="interlanguage-link-target"><span>Eesti</span></a></li><li class="interlanguage-link interwiki-es mw-list-item"><a href="https://es.wikipedia.org/wiki/TSMC" title="TSMC – Spanish" lang="es" hreflang="es" data-title="TSMC" data-language-autonym="Español" data-language-local-name="Spanish" class="interlanguage-link-target"><span>Español</span></a></li><li class="interlanguage-link interwiki-eo mw-list-item"><a href="https://eo.wikipedia.org/wiki/TSMC" title="TSMC – Esperanto" lang="eo" hreflang="eo" data-title="TSMC" data-language-autonym="Esperanto" data-language-local-name="Esperanto" class="interlanguage-link-target"><span>Esperanto</span></a></li><li class="interlanguage-link interwiki-fa mw-list-item"><a href="https://fa.wikipedia.org/wiki/%D8%AA%DB%8C%E2%80%8C%D8%A7%D8%B3%E2%80%8C%D8%A7%D9%85%E2%80%8C%D8%B3%DB%8C" title="تی‌اس‌ام‌سی – Persian" lang="fa" hreflang="fa" data-title="تی‌اس‌ام‌سی" data-language-autonym="فارسی" data-language-local-name="Persian" class="interlanguage-link-target"><span>فارسی</span></a></li><li class="interlanguage-link interwiki-fr mw-list-item"><a href="https://fr.wikipedia.org/wiki/Taiwan_Semiconductor_Manufacturing_Company" title="Taiwan Semiconductor Manufacturing Company – French" lang="fr" hreflang="fr" data-title="Taiwan Semiconductor Manufacturing Company" data-language-autonym="Français" data-language-local-name="French" class="interlanguage-link-target"><span>Français</span></a></li><li class="interlanguage-link interwiki-ko mw-list-item"><a href="https://ko.wikipedia.org/wiki/TSMC" title="TSMC – Korean" lang="ko" hreflang="ko" data-title="TSMC" data-language-autonym="한국어" data-language-local-name="Korean" class="interlanguage-link-target"><span>한국어</span></a></li><li class="interlanguage-link interwiki-hi mw-list-item"><a href="https://hi.wikipedia.org/wiki/%E0%A4%9F%E0%A5%80%E0%A4%8F%E0%A4%B8%E0%A4%8F%E0%A4%AE%E0%A4%B8%E0%A5%80" title="टीएसएमसी – Hindi" lang="hi" hreflang="hi" data-title="टीएसएमसी" data-language-autonym="हिन्दी" data-language-local-name="Hindi" class="interlanguage-link-target"><span>हिन्दी</span></a></li><li class="interlanguage-link interwiki-id mw-list-item"><a href="https://id.wikipedia.org/wiki/TSMC" title="TSMC – Indonesian" lang="id" hreflang="id" data-title="TSMC" data-language-autonym="Bahasa Indonesia" data-language-local-name="Indonesian" class="interlanguage-link-target"><span>Bahasa Indonesia</span></a></li><li class="interlanguage-link interwiki-it mw-list-item"><a href="https://it.wikipedia.org/wiki/TSMC" title="TSMC – Italian" lang="it" hreflang="it" data-title="TSMC" data-language-autonym="Italiano" data-language-local-name="Italian" class="interlanguage-link-target"><span>Italiano</span></a></li><li class="interlanguage-link interwiki-he mw-list-item"><a href="https://he.wikipedia.org/wiki/TSMC" title="TSMC – Hebrew" lang="he" hreflang="he" data-title="TSMC" data-language-autonym="עברית" data-language-local-name="Hebrew" class="interlanguage-link-target"><span>עברית</span></a></li><li class="interlanguage-link interwiki-lv mw-list-item"><a href="https://lv.wikipedia.org/wiki/TSMC" title="TSMC – Latvian" lang="lv" hreflang="lv" data-title="TSMC" data-language-autonym="Latviešu" data-language-local-name="Latvian" class="interlanguage-link-target"><span>Latviešu</span></a></li><li class="interlanguage-link interwiki-lt mw-list-item"><a href="https://lt.wikipedia.org/wiki/TSMC" title="TSMC – Lithuanian" lang="lt" hreflang="lt" data-title="TSMC" data-language-autonym="Lietuvių" data-language-local-name="Lithuanian" class="interlanguage-link-target"><span>Lietuvių</span></a></li><li class="interlanguage-link interwiki-ms mw-list-item"><a href="https://ms.wikipedia.org/wiki/TSMC" title="TSMC – Malay" lang="ms" hreflang="ms" data-title="TSMC" data-language-autonym="Bahasa Melayu" data-language-local-name="Malay" class="interlanguage-link-target"><span>Bahasa Melayu</span></a></li><li class="interlanguage-link interwiki-my mw-list-item"><a href="https://my.wikipedia.org/wiki/TSMC" title="TSMC – Burmese" lang="my" hreflang="my" data-title="TSMC" data-language-autonym="မြန်မာဘာသာ" data-language-local-name="Burmese" class="interlanguage-link-target"><span>မြန်မာဘာသာ</span></a></li><li class="interlanguage-link interwiki-nl mw-list-item"><a href="https://nl.wikipedia.org/wiki/TSMC" title="TSMC – Dutch" lang="nl" hreflang="nl" data-title="TSMC" data-language-autonym="Nederlands" data-language-local-name="Dutch" class="interlanguage-link-target"><span>Nederlands</span></a></li><li class="interlanguage-link interwiki-ja mw-list-item"><a href="https://ja.wikipedia.org/wiki/%E5%8F%B0%E6%B9%BE%E7%A9%8D%E4%BD%93%E9%9B%BB%E8%B7%AF%E8%A3%BD%E9%80%A0" title="台湾積体電路製造 – Japanese" lang="ja" hreflang="ja" data-title="台湾積体電路製造" data-language-autonym="日本語" data-language-local-name="Japanese" class="interlanguage-link-target"><span>日本語</span></a></li><li class="interlanguage-link interwiki-no mw-list-item"><a href="https://no.wikipedia.org/wiki/TSMC" title="TSMC – Norwegian Bokmål" lang="nb" hreflang="nb" data-title="TSMC" data-language-autonym="Norsk bokmål" data-language-local-name="Norwegian Bokmål" class="interlanguage-link-target"><span>Norsk bokmål</span></a></li><li class="interlanguage-link interwiki-pwn mw-list-item"><a href="https://pwn.wikipedia.org/wiki/taicitiyan" title="taicitiyan – Paiwan" lang="pwn" hreflang="pwn" data-title="taicitiyan" data-language-autonym="Pinayuanan" data-language-local-name="Paiwan" class="interlanguage-link-target"><span>Pinayuanan</span></a></li><li class="interlanguage-link interwiki-pl mw-list-item"><a href="https://pl.wikipedia.org/wiki/TSMC" title="TSMC – Polish" lang="pl" hreflang="pl" data-title="TSMC" data-language-autonym="Polski" data-language-local-name="Polish" class="interlanguage-link-target"><span>Polski</span></a></li><li class="interlanguage-link interwiki-pt mw-list-item"><a href="https://pt.wikipedia.org/wiki/TSMC" title="TSMC – Portuguese" lang="pt" hreflang="pt" data-title="TSMC" data-language-autonym="Português" data-language-local-name="Portuguese" class="interlanguage-link-target"><span>Português</span></a></li><li class="interlanguage-link interwiki-ru mw-list-item"><a href="https://ru.wikipedia.org/wiki/TSMC" title="TSMC – Russian" lang="ru" hreflang="ru" data-title="TSMC" data-language-autonym="Русский" data-language-local-name="Russian" class="interlanguage-link-target"><span>Русский</span></a></li><li class="interlanguage-link interwiki-sah mw-list-item"><a href="https://sah.wikipedia.org/wiki/TSMC" title="TSMC – Yakut" lang="sah" hreflang="sah" data-title="TSMC" data-language-autonym="Саха тыла" data-language-local-name="Yakut" class="interlanguage-link-target"><span>Саха тыла</span></a></li><li class="interlanguage-link interwiki-simple mw-list-item"><a href="https://simple.wikipedia.org/wiki/TSMC" title="TSMC – Simple English" lang="en-simple" hreflang="en-simple" data-title="TSMC" data-language-autonym="Simple English" data-language-local-name="Simple English" class="interlanguage-link-target"><span>Simple English</span></a></li><li class="interlanguage-link interwiki-sk mw-list-item"><a href="https://sk.wikipedia.org/wiki/TSMC" title="TSMC – Slovak" lang="sk" hreflang="sk" data-title="TSMC" data-language-autonym="Slovenčina" data-language-local-name="Slovak" class="interlanguage-link-target"><span>Slovenčina</span></a></li><li class="interlanguage-link interwiki-ckb mw-list-item"><a href="https://ckb.wikipedia.org/wiki/%D8%AA%DB%8C_%D8%A6%DB%8E%D8%B3_%D8%A6%DB%8E%D9%85_%D8%B3%DB%8C" title="تی ئێس ئێم سی – Central Kurdish" lang="ckb" hreflang="ckb" data-title="تی ئێس ئێم سی" data-language-autonym="کوردی" data-language-local-name="Central Kurdish" class="interlanguage-link-target"><span>کوردی</span></a></li><li class="interlanguage-link interwiki-fi mw-list-item"><a href="https://fi.wikipedia.org/wiki/TSMC" title="TSMC – Finnish" lang="fi" hreflang="fi" data-title="TSMC" data-language-autonym="Suomi" data-language-local-name="Finnish" class="interlanguage-link-target"><span>Suomi</span></a></li><li class="interlanguage-link interwiki-sv mw-list-item"><a href="https://sv.wikipedia.org/wiki/Taiwan_Semiconductor_Manufacturing_Company" title="Taiwan Semiconductor Manufacturing Company – Swedish" lang="sv" hreflang="sv" data-title="Taiwan Semiconductor Manufacturing Company" data-language-autonym="Svenska" data-language-local-name="Swedish" class="interlanguage-link-target"><span>Svenska</span></a></li><li class="interlanguage-link interwiki-th mw-list-item"><a href="https://th.wikipedia.org/wiki/%E0%B8%97%E0%B8%B5%E0%B9%80%E0%B8%AD%E0%B8%AA%E0%B9%80%E0%B8%AD%E0%B9%87%E0%B8%A1%E0%B8%8B%E0%B8%B5" title="ทีเอสเอ็มซี – Thai" lang="th" hreflang="th" data-title="ทีเอสเอ็มซี" data-language-autonym="ไทย" data-language-local-name="Thai" class="interlanguage-link-target"><span>ไทย</span></a></li><li class="interlanguage-link interwiki-tr mw-list-item"><a href="https://tr.wikipedia.org/wiki/TSMC" title="TSMC – Turkish" lang="tr" hreflang="tr" data-title="TSMC" data-language-autonym="Türkçe" data-language-local-name="Turkish" class="interlanguage-link-target"><span>Türkçe</span></a></li><li class="interlanguage-link interwiki-uk mw-list-item"><a href="https://uk.wikipedia.org/wiki/TSMC" title="TSMC – Ukrainian" lang="uk" hreflang="uk" data-title="TSMC" data-language-autonym="Українська" data-language-local-name="Ukrainian" class="interlanguage-link-target"><span>Українська</span></a></li><li class="interlanguage-link interwiki-vi mw-list-item"><a href="https://vi.wikipedia.org/wiki/TSMC" title="TSMC – Vietnamese" lang="vi" hreflang="vi" data-title="TSMC" data-language-autonym="Tiếng Việt" data-language-local-name="Vietnamese" class="interlanguage-link-target"><span>Tiếng Việt</span></a></li><li class="interlanguage-link interwiki-wuu mw-list-item"><a href="https://wuu.wikipedia.org/wiki/%E5%8F%B0%E6%B9%BE%E7%A7%AF%E4%BD%93%E7%94%B5%E8%B7%AF%E5%88%B6%E9%80%A0" title="台湾积体电路制造 – Wu" lang="wuu" hreflang="wuu" data-title="台湾积体电路制造" data-language-autonym="吴语" data-language-local-name="Wu" class="interlanguage-link-target"><span>吴语</span></a></li><li class="interlanguage-link interwiki-zh-yue mw-list-item"><a href="https://zh-yue.wikipedia.org/wiki/%E5%8F%B0%E7%A9%8D%E9%9B%BB" title="台積電 – Cantonese" lang="yue" hreflang="yue" data-title="台積電" data-language-autonym="粵語" data-language-local-name="Cantonese" class="interlanguage-link-target"><span>粵語</span></a></li><li class="interlanguage-link interwiki-zh mw-list-item"><a href="https://zh.wikipedia.org/wiki/%E5%8F%B0%E7%81%A3%E7%A9%8D%E9%AB%94%E9%9B%BB%E8%B7%AF%E8%A3%BD%E9%80%A0" title="台灣積體電路製造 – Chinese" lang="zh" hreflang="zh" data-title="台灣積體電路製造" data-language-autonym="中文" data-language-local-name="Chinese" class="interlanguage-link-target"><span>中文</span></a></li> </ul> <div class="after-portlet after-portlet-lang"><span class="wb-langlinks-edit wb-langlinks-link"><a href="https://www.wikidata.org/wiki/Special:EntityPage/Q713418#sitelinks-wikipedia" title="Edit interlanguage links" class="wbc-editpage">Edit links</a></span></div> </div> </div> </div> </header> <div class="vector-page-toolbar"> <div class="vector-page-toolbar-container"> <div id="left-navigation"> <nav aria-label="Namespaces"> <div id="p-associated-pages" class="vector-menu vector-menu-tabs mw-portlet mw-portlet-associated-pages" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="ca-nstab-main" class="selected vector-tab-noicon mw-list-item"><a href="/wiki/TSMC" title="View the content page [c]" accesskey="c"><span>Article</span></a></li><li id="ca-talk" class="vector-tab-noicon mw-list-item"><a href="/wiki/Talk:TSMC" rel="discussion" title="Discuss improvements to the content page [t]" accesskey="t"><span>Talk</span></a></li> </ul> </div> </div> <div id="vector-variants-dropdown" class="vector-dropdown emptyPortlet" > <input type="checkbox" id="vector-variants-dropdown-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-variants-dropdown" class="vector-dropdown-checkbox " aria-label="Change language variant" > <label id="vector-variants-dropdown-label" for="vector-variants-dropdown-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet" aria-hidden="true" ><span class="vector-dropdown-label-text">English</span> </label> <div class="vector-dropdown-content"> <div id="p-variants" class="vector-menu mw-portlet mw-portlet-variants emptyPortlet" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> </ul> </div> </div> </div> </div> </nav> </div> <div id="right-navigation" class="vector-collapsible"> <nav aria-label="Views"> <div id="p-views" class="vector-menu vector-menu-tabs mw-portlet mw-portlet-views" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="ca-view" class="selected vector-tab-noicon mw-list-item"><a href="/wiki/TSMC"><span>Read</span></a></li><li id="ca-edit" class="vector-tab-noicon mw-list-item"><a href="/w/index.php?title=TSMC&amp;action=edit" title="Edit this page [e]" accesskey="e"><span>Edit</span></a></li><li id="ca-history" class="vector-tab-noicon mw-list-item"><a href="/w/index.php?title=TSMC&amp;action=history" title="Past revisions of this page [h]" accesskey="h"><span>View history</span></a></li> </ul> </div> </div> </nav> <nav class="vector-page-tools-landmark" aria-label="Page tools"> <div id="vector-page-tools-dropdown" class="vector-dropdown vector-page-tools-dropdown" > <input type="checkbox" id="vector-page-tools-dropdown-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-page-tools-dropdown" class="vector-dropdown-checkbox " aria-label="Tools" > <label id="vector-page-tools-dropdown-label" for="vector-page-tools-dropdown-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet" aria-hidden="true" ><span class="vector-dropdown-label-text">Tools</span> </label> <div class="vector-dropdown-content"> <div id="vector-page-tools-unpinned-container" class="vector-unpinned-container"> <div id="vector-page-tools" class="vector-page-tools vector-pinnable-element"> <div class="vector-pinnable-header vector-page-tools-pinnable-header vector-pinnable-header-unpinned" data-feature-name="page-tools-pinned" data-pinnable-element-id="vector-page-tools" data-pinned-container-id="vector-page-tools-pinned-container" data-unpinned-container-id="vector-page-tools-unpinned-container" > <div class="vector-pinnable-header-label">Tools</div> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-pin-button" data-event-name="pinnable-header.vector-page-tools.pin">move to sidebar</button> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-unpin-button" data-event-name="pinnable-header.vector-page-tools.unpin">hide</button> </div> <div id="p-cactions" class="vector-menu mw-portlet mw-portlet-cactions emptyPortlet vector-has-collapsible-items" title="More options" > <div class="vector-menu-heading"> Actions </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="ca-more-view" class="selected vector-more-collapsible-item mw-list-item"><a href="/wiki/TSMC"><span>Read</span></a></li><li id="ca-more-edit" class="vector-more-collapsible-item mw-list-item"><a href="/w/index.php?title=TSMC&amp;action=edit" title="Edit this page [e]" accesskey="e"><span>Edit</span></a></li><li id="ca-more-history" class="vector-more-collapsible-item mw-list-item"><a href="/w/index.php?title=TSMC&amp;action=history"><span>View history</span></a></li> </ul> </div> </div> <div id="p-tb" class="vector-menu mw-portlet mw-portlet-tb" > <div class="vector-menu-heading"> General </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="t-whatlinkshere" class="mw-list-item"><a href="/wiki/Special:WhatLinksHere/TSMC" title="List of all English Wikipedia pages containing links to this page [j]" accesskey="j"><span>What links here</span></a></li><li id="t-recentchangeslinked" class="mw-list-item"><a href="/wiki/Special:RecentChangesLinked/TSMC" rel="nofollow" title="Recent changes in pages linked from this page [k]" accesskey="k"><span>Related changes</span></a></li><li id="t-upload" class="mw-list-item"><a href="//en.wikipedia.org/wiki/Wikipedia:File_Upload_Wizard" title="Upload files [u]" accesskey="u"><span>Upload file</span></a></li><li id="t-permalink" class="mw-list-item"><a href="/w/index.php?title=TSMC&amp;oldid=1279887981" title="Permanent link to this revision of this page"><span>Permanent link</span></a></li><li id="t-info" class="mw-list-item"><a href="/w/index.php?title=TSMC&amp;action=info" title="More information about this page"><span>Page information</span></a></li><li id="t-cite" class="mw-list-item"><a href="/w/index.php?title=Special:CiteThisPage&amp;page=TSMC&amp;id=1279887981&amp;wpFormIdentifier=titleform" title="Information on how to cite this page"><span>Cite this page</span></a></li><li id="t-urlshortener" class="mw-list-item"><a href="/w/index.php?title=Special:UrlShortener&amp;url=https%3A%2F%2Fen.wikipedia.org%2Fwiki%2FTSMC"><span>Get shortened URL</span></a></li><li id="t-urlshortener-qrcode" class="mw-list-item"><a href="/w/index.php?title=Special:QrCode&amp;url=https%3A%2F%2Fen.wikipedia.org%2Fwiki%2FTSMC"><span>Download QR code</span></a></li> </ul> </div> </div> <div id="p-coll-print_export" class="vector-menu mw-portlet mw-portlet-coll-print_export" > <div class="vector-menu-heading"> Print/export </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="coll-download-as-rl" class="mw-list-item"><a href="/w/index.php?title=Special:DownloadAsPdf&amp;page=TSMC&amp;action=show-download-screen" title="Download this page as a PDF file"><span>Download as PDF</span></a></li><li id="t-print" class="mw-list-item"><a href="/w/index.php?title=TSMC&amp;printable=yes" title="Printable version of this page [p]" accesskey="p"><span>Printable version</span></a></li> </ul> </div> </div> <div id="p-wikibase-otherprojects" class="vector-menu mw-portlet mw-portlet-wikibase-otherprojects" > <div class="vector-menu-heading"> In other projects </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li class="wb-otherproject-link wb-otherproject-commons mw-list-item"><a href="https://commons.wikimedia.org/wiki/Category:TSMC" hreflang="en"><span>Wikimedia Commons</span></a></li><li id="t-wikibase" class="wb-otherproject-link wb-otherproject-wikibase-dataitem mw-list-item"><a href="https://www.wikidata.org/wiki/Special:EntityPage/Q713418" title="Structured data on this page hosted by Wikidata [g]" accesskey="g"><span>Wikidata item</span></a></li> </ul> </div> </div> </div> </div> </div> </div> </nav> </div> </div> </div> <div class="vector-column-end"> <div class="vector-sticky-pinned-container"> <nav class="vector-page-tools-landmark" aria-label="Page tools"> <div id="vector-page-tools-pinned-container" class="vector-pinned-container"> </div> </nav> <nav class="vector-appearance-landmark" aria-label="Appearance"> <div id="vector-appearance-pinned-container" class="vector-pinned-container"> <div id="vector-appearance" class="vector-appearance vector-pinnable-element"> <div class="vector-pinnable-header vector-appearance-pinnable-header vector-pinnable-header-pinned" data-feature-name="appearance-pinned" data-pinnable-element-id="vector-appearance" data-pinned-container-id="vector-appearance-pinned-container" data-unpinned-container-id="vector-appearance-unpinned-container" > <div class="vector-pinnable-header-label">Appearance</div> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-pin-button" data-event-name="pinnable-header.vector-appearance.pin">move to sidebar</button> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-unpin-button" data-event-name="pinnable-header.vector-appearance.unpin">hide</button> </div> </div> </div> </nav> </div> </div> <div id="bodyContent" class="vector-body" aria-labelledby="firstHeading" data-mw-ve-target-container> <div class="vector-body-before-content"> <div class="mw-indicators"> </div> <div id="siteSub" class="noprint">From Wikipedia, the free encyclopedia</div> </div> <div id="contentSub"><div id="mw-content-subtitle"></div></div> <div id="mw-content-text" class="mw-body-content"><div class="mw-content-ltr mw-parser-output" lang="en" dir="ltr"><div class="shortdescription nomobile noexcerpt noprint searchaux" style="display:none">Taiwanese semiconductor foundry company</div> <style data-mw-deduplicate="TemplateStyles:r1236090951">.mw-parser-output .hatnote{font-style:italic}.mw-parser-output div.hatnote{padding-left:1.6em;margin-bottom:0.5em}.mw-parser-output .hatnote i{font-style:normal}.mw-parser-output .hatnote+link+.hatnote{margin-top:-0.5em}@media print{body.ns-0 .mw-parser-output .hatnote{display:none!important}}</style><div role="note" class="hatnote navigation-not-searchable">Not to be confused with <a href="/wiki/Taiwan_Semiconductor_Company_Limited" title="Taiwan Semiconductor Company Limited">Taiwan Semiconductor Company Limited</a> (TSC).</div> <p class="mw-empty-elt"> </p> <style data-mw-deduplicate="TemplateStyles:r1257001546">.mw-parser-output .infobox-subbox{padding:0;border:none;margin:-3px;width:auto;min-width:100%;font-size:100%;clear:none;float:none;background-color:transparent}.mw-parser-output .infobox-3cols-child{margin:auto}.mw-parser-output .infobox .navbar{font-size:100%}@media screen{html.skin-theme-clientpref-night .mw-parser-output .infobox-full-data:not(.notheme)>div:not(.notheme)[style]{background:#1f1f23!important;color:#f8f9fa}}@media screen and (prefers-color-scheme:dark){html.skin-theme-clientpref-os .mw-parser-output .infobox-full-data:not(.notheme) div:not(.notheme){background:#1f1f23!important;color:#f8f9fa}}@media(min-width:640px){body.skin--responsive .mw-parser-output .infobox-table{display:table!important}body.skin--responsive .mw-parser-output .infobox-table>caption{display:table-caption!important}body.skin--responsive .mw-parser-output .infobox-table>tbody{display:table-row-group}body.skin--responsive .mw-parser-output .infobox-table tr{display:table-row!important}body.skin--responsive .mw-parser-output .infobox-table th,body.skin--responsive .mw-parser-output .infobox-table td{padding-left:inherit;padding-right:inherit}}</style><style data-mw-deduplicate="TemplateStyles:r1242257876">.mw-parser-output .ib-company .infobox-label{padding-right:0.5em}.mw-parser-output .ib-company .infobox-data,.mw-parser-output .ib-company .infobox-below{line-height:1.35em}.mw-parser-output .ib-company-logo img{background-color:#f8f9fa}.mw-parser-output .ib-company-locality,.mw-parser-output .ib-company-country{display:inline}</style><table class="infobox ib-company vcard"><caption class="infobox-title fn org" style="font-size: 125%;">Taiwan Semiconductor Manufacturing Company Limited</caption><tbody><tr><td colspan="2" class="infobox-image ib-company-logo logo"><span class="mw-default-size" typeof="mw:File/Frameless"><a href="/wiki/File:Tsmc.svg" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/en/thumb/6/63/Tsmc.svg/200px-Tsmc.svg.png" decoding="async" width="200" height="158" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/en/thumb/6/63/Tsmc.svg/300px-Tsmc.svg.png 1.5x, //upload.wikimedia.org/wikipedia/en/thumb/6/63/Tsmc.svg/400px-Tsmc.svg.png 2x" data-file-width="273" data-file-height="215" /></a></span></td></tr><tr><td colspan="2" class="infobox-image ib-company-logo logo"><span class="mw-default-size" typeof="mw:File/Frameless"><a href="/wiki/File:TSMC_factory_in_Taichung%27s_Central_Taiwan_Science_Park.jpg" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/0/07/TSMC_factory_in_Taichung%27s_Central_Taiwan_Science_Park.jpg/250px-TSMC_factory_in_Taichung%27s_Central_Taiwan_Science_Park.jpg" decoding="async" width="250" height="141" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/0/07/TSMC_factory_in_Taichung%27s_Central_Taiwan_Science_Park.jpg/375px-TSMC_factory_in_Taichung%27s_Central_Taiwan_Science_Park.jpg 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/0/07/TSMC_factory_in_Taichung%27s_Central_Taiwan_Science_Park.jpg/500px-TSMC_factory_in_Taichung%27s_Central_Taiwan_Science_Park.jpg 2x" data-file-width="3928" data-file-height="2208" /></a></span><div class="infobox-caption">One of TSMC's factories in Taichung's Central Taiwan Science Park</div></td></tr><tr><th scope="row" class="infobox-label"><div style="display: inline-block; line-height: 1.2em; padding: .1em 0;">Native name</div></th><td class="infobox-data"><span title="Chinese-language text"><span lang="zh-TW">台積電</span></span></td></tr><tr><th scope="row" class="infobox-label">Company type</th><td class="infobox-data category"><a href="/wiki/Public_company" title="Public company">Public</a></td></tr><tr><th scope="row" class="infobox-label"><div style="display: inline-block; line-height: 1.2em; padding: .1em 0;"><a href="/wiki/Ticker_symbol" title="Ticker symbol">Traded as</a></div></th><td class="infobox-data"><style data-mw-deduplicate="TemplateStyles:r1126788409">.mw-parser-output .plainlist ol,.mw-parser-output .plainlist ul{line-height:inherit;list-style:none;margin:0;padding:0}.mw-parser-output .plainlist ol li,.mw-parser-output .plainlist ul li{margin-bottom:0}</style><div class="plainlist"><ul><li><a href="/wiki/Taiwan_Stock_Exchange" title="Taiwan Stock Exchange">TWSE</a>: <a rel="nofollow" class="external text" href="https://www.twse.com.tw/pdf/en/2330_en.pdf">2330</a></li><li><a href="/wiki/New_York_Stock_Exchange" title="New York Stock Exchange">NYSE</a>:&#160;<a rel="nofollow" class="external text" href="https://www.nyse.com/quote/XNYS:TSM">TSM</a></li><li><a href="/wiki/London_Stock_Exchange" title="London Stock Exchange">LSE</a>:&#160;<a rel="nofollow" class="external text" href="https://www.londonstockexchange.com/stock/OLCV//">OLCV</a></li></ul></div></td></tr><tr><th scope="row" class="infobox-label"><a href="/wiki/International_Securities_Identification_Number" title="International Securities Identification Number">ISIN</a></th><td class="infobox-data"><span class="plainlinks"><a class="external text" href="https://isin.toolforge.org/?language=en&amp;isin=US8740391003">US8740391003</a></span></td></tr><tr><th scope="row" class="infobox-label">Industry</th><td class="infobox-data category"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1126788409" /><div class="plainlist"><ul><li><a href="/wiki/Semiconductor" title="Semiconductor">Semiconductors</a></li></ul></div></td></tr><tr><th scope="row" class="infobox-label">Founded</th><td class="infobox-data">21&#160;February 1987<span class="noprint">&#59;&#32;38 years ago</span><span style="display:none">&#160;(<span class="bday dtstart published updated">1987-02-21</span>)</span>, in <a href="/wiki/Industrial_Technology_Research_Institute" title="Industrial Technology Research Institute">Industrial Technology Research Institute</a>, <a href="/wiki/Hsinchu" title="Hsinchu">Hsinchu</a>, Taiwan</td></tr><tr><th scope="row" class="infobox-label">Founder</th><td class="infobox-data agent"><a href="/wiki/Morris_Chang" title="Morris Chang">Morris Chang</a></td></tr><tr><th scope="row" class="infobox-label">Headquarters</th><td class="infobox-data adr"><div class="ib-company-locality locality"><a href="/wiki/Hsinchu_Science_Park" title="Hsinchu Science Park">Hsinchu Science Park</a></div>, <div class="ib-company-country country-name">Taiwan</div></td></tr><tr><th scope="row" class="infobox-label"><div style="display: inline-block; line-height: 1.2em; padding: .1em 0;">Area served</div></th><td class="infobox-data">Worldwide</td></tr><tr><th scope="row" class="infobox-label"><div style="display: inline-block; line-height: 1.2em; padding: .1em 0;">Key people</div></th><td class="infobox-data agent"><a href="/wiki/C._C._Wei_(business_executive)" title="C. C. Wei (business executive)">C. C. Wei</a> (president, chairman and CEO)<sup id="cite_ref-1" class="reference"><a href="#cite_note-1"><span class="cite-bracket">&#91;</span>1<span class="cite-bracket">&#93;</span></a></sup></td></tr><tr><th scope="row" class="infobox-label"><div style="display: inline-block; line-height: 1.2em; padding: .1em 0;">Production output</div></th><td class="infobox-data"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1126788409" /><div class="plainlist"><ul><li><span typeof="mw:File"><span title="Decrease"><img alt="Decrease" src="//upload.wikimedia.org/wikipedia/commons/thumb/e/ed/Decrease2.svg/11px-Decrease2.svg.png" decoding="async" width="11" height="11" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/e/ed/Decrease2.svg/17px-Decrease2.svg.png 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/e/ed/Decrease2.svg/22px-Decrease2.svg.png 2x" data-file-width="300" data-file-height="300" /></span></span>12 million 12-inch equivalent wafers (2023)</li></ul></div></td></tr><tr><th scope="row" class="infobox-label">Services</th><td class="infobox-data category"><style data-mw-deduplicate="TemplateStyles:r1129693374">.mw-parser-output .hlist dl,.mw-parser-output .hlist ol,.mw-parser-output .hlist ul{margin:0;padding:0}.mw-parser-output .hlist dd,.mw-parser-output .hlist dt,.mw-parser-output .hlist li{margin:0;display:inline}.mw-parser-output .hlist.inline,.mw-parser-output .hlist.inline dl,.mw-parser-output .hlist.inline ol,.mw-parser-output .hlist.inline ul,.mw-parser-output .hlist dl dl,.mw-parser-output .hlist dl ol,.mw-parser-output .hlist dl ul,.mw-parser-output .hlist ol dl,.mw-parser-output .hlist ol ol,.mw-parser-output .hlist ol ul,.mw-parser-output .hlist ul dl,.mw-parser-output .hlist ul ol,.mw-parser-output .hlist ul ul{display:inline}.mw-parser-output .hlist .mw-empty-li{display:none}.mw-parser-output .hlist dt::after{content:": "}.mw-parser-output .hlist dd::after,.mw-parser-output .hlist li::after{content:" · ";font-weight:bold}.mw-parser-output .hlist dd:last-child::after,.mw-parser-output .hlist dt:last-child::after,.mw-parser-output .hlist li:last-child::after{content:none}.mw-parser-output .hlist dd dd:first-child::before,.mw-parser-output .hlist dd dt:first-child::before,.mw-parser-output .hlist dd li:first-child::before,.mw-parser-output .hlist dt dd:first-child::before,.mw-parser-output .hlist dt dt:first-child::before,.mw-parser-output .hlist dt li:first-child::before,.mw-parser-output .hlist li dd:first-child::before,.mw-parser-output .hlist li dt:first-child::before,.mw-parser-output .hlist li li:first-child::before{content:" (";font-weight:normal}.mw-parser-output .hlist dd dd:last-child::after,.mw-parser-output .hlist dd dt:last-child::after,.mw-parser-output .hlist dd li:last-child::after,.mw-parser-output .hlist dt dd:last-child::after,.mw-parser-output .hlist dt dt:last-child::after,.mw-parser-output .hlist dt li:last-child::after,.mw-parser-output .hlist li dd:last-child::after,.mw-parser-output .hlist li dt:last-child::after,.mw-parser-output .hlist li li:last-child::after{content:")";font-weight:normal}.mw-parser-output .hlist ol{counter-reset:listitem}.mw-parser-output .hlist ol>li{counter-increment:listitem}.mw-parser-output .hlist ol>li::before{content:" "counter(listitem)"\a0 "}.mw-parser-output .hlist dd ol>li:first-child::before,.mw-parser-output .hlist dt ol>li:first-child::before,.mw-parser-output .hlist li ol>li:first-child::before{content:" ("counter(listitem)"\a0 "}</style><div class="hlist"><ul><li>Manufacture of integrated circuits</li><li>mask services</li><li>integrated circuits packaging</li><li>multi wafer foundry services</li></ul></div></td></tr><tr><th scope="row" class="infobox-label">Revenue</th><td class="infobox-data"><span typeof="mw:File"><span title="Increase"><img alt="Increase" src="//upload.wikimedia.org/wikipedia/commons/thumb/b/b0/Increase2.svg/20px-Increase2.svg.png" decoding="async" width="11" height="11" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/b/b0/Increase2.svg/40px-Increase2.svg.png 2x" data-file-width="300" data-file-height="300" /></span></span> <span style="white-space: nowrap"><a href="/wiki/United_States_dollar" title="United States dollar">US$</a>90.08&#160;billion</span> (2024)</td></tr><tr><th scope="row" class="infobox-label"><div style="display: inline-block; line-height: 1.2em; padding: .1em 0;"><a href="/wiki/Earnings_before_interest_and_taxes" title="Earnings before interest and taxes">Operating income</a></div></th><td class="infobox-data"><span typeof="mw:File"><span title="Increase"><img alt="Increase" src="//upload.wikimedia.org/wikipedia/commons/thumb/b/b0/Increase2.svg/20px-Increase2.svg.png" decoding="async" width="11" height="11" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/b/b0/Increase2.svg/40px-Increase2.svg.png 2x" data-file-width="300" data-file-height="300" /></span></span> <span style="white-space: nowrap">US$41.14 billion</span> (2024)</td></tr><tr><th scope="row" class="infobox-label"><div style="display: inline-block; line-height: 1.2em; padding: .1em 0;"><a href="/wiki/Net_income" title="Net income">Net income</a></div></th><td class="infobox-data"><span typeof="mw:File"><span title="Increase"><img alt="Increase" src="//upload.wikimedia.org/wikipedia/commons/thumb/b/b0/Increase2.svg/20px-Increase2.svg.png" decoding="async" width="11" height="11" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/b/b0/Increase2.svg/40px-Increase2.svg.png 2x" data-file-width="300" data-file-height="300" /></span></span> <span style="white-space: nowrap">US$36.49 billion</span> (2024)</td></tr><tr><th scope="row" class="infobox-label"><span class="nowrap"><a href="/wiki/Asset" title="Asset">Total assets</a></span></th><td class="infobox-data"><span class="nowrap"><span typeof="mw:File"><span title="Increase"><img alt="Increase" src="//upload.wikimedia.org/wikipedia/commons/thumb/b/b0/Increase2.svg/20px-Increase2.svg.png" decoding="async" width="11" height="11" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/b/b0/Increase2.svg/40px-Increase2.svg.png 2x" data-file-width="300" data-file-height="300" /></span></span> <span style="white-space: nowrap">US$204.22 billion</span> (2024)</span></td></tr><tr><th scope="row" class="infobox-label"><span class="nowrap"><a href="/wiki/Equity_(finance)" title="Equity (finance)">Total equity</a></span></th><td class="infobox-data"><span typeof="mw:File"><span title="Increase"><img alt="Increase" src="//upload.wikimedia.org/wikipedia/commons/thumb/b/b0/Increase2.svg/20px-Increase2.svg.png" decoding="async" width="11" height="11" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/b/b0/Increase2.svg/40px-Increase2.svg.png 2x" data-file-width="300" data-file-height="300" /></span></span> <span style="white-space: nowrap">US$131.94 billion</span> (2024)</td></tr><tr><th scope="row" class="infobox-label"><div style="display: inline-block; line-height: 1.2em; padding: .1em 0;">Number of employees</div></th><td class="infobox-data">73,090 (2024)<sup id="cite_ref-2" class="reference"><a href="#cite_note-2"><span class="cite-bracket">&#91;</span>2<span class="cite-bracket">&#93;</span></a></sup></td></tr><tr><th scope="row" class="infobox-label"><a href="/wiki/Division_(business)" title="Division (business)">Divisions</a></th><td class="infobox-data"><a href="/wiki/Systems_on_Silicon_Manufacturing" title="Systems on Silicon Manufacturing">SSMC</a> (38.8% joint venture with <a href="/wiki/NXP" class="mw-redirect" title="NXP">NXP</a>)</td></tr><tr><th scope="row" class="infobox-label"><a href="/wiki/Subsidiary" title="Subsidiary">Subsidiaries</a></th><td class="infobox-data"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1126788409" /><div class="plainlist"><ul><li>WaferTech</li><li>TSMC Nanjing Company Ltd.</li><li><a href="/wiki/Japan_Advanced_Semiconductor_Manufacturing" title="Japan Advanced Semiconductor Manufacturing">JASM</a></li></ul></div></td></tr><tr><td colspan="2" class="infobox-full-data"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1257001546" /></td></tr><tr style="display:none;"><td colspan="2" class="infobox-full-data"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1257001546" /></td></tr><tr><th colspan="2" class="infobox-header" style="color: #202122;background-color: #b0c4de;">Chinese name</th></tr><tr><th scope="row" class="infobox-label" style="font-weight:normal;"><a href="/wiki/Traditional_Chinese_characters" title="Traditional Chinese characters">Traditional&#160;Chinese</a></th><td class="infobox-data"><span title="Chinese-language text"><span lang="zh-Hant" style="font-size: 1rem;">台灣積體電路製造股份有限公司</span></span></td></tr><tr><td colspan="2" class="infobox-full-data"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1257001546" /><table class="infobox-subbox mw-collapsible mw-collapsed" style="display:inline-table; text-align: left;"><tbody><tr><th colspan="2" class="infobox-above" style="font-size: 100%; text-align: left;color: #202122; background-color: #f9ffbc;">Transcriptions</th></tr><tr><th colspan="2" class="infobox-header" style="color: #202122;background-color: #dcffc9;"><a href="/wiki/Standard_Chinese" title="Standard Chinese">Standard Mandarin</a></th></tr><tr><th scope="row" class="infobox-label" style="font-weight:normal;"><a href="/wiki/Hanyu_Pinyin" class="mw-redirect" title="Hanyu Pinyin">Hanyu Pinyin</a></th><td class="infobox-data"><span title="Chinese-language romanization"><span style="font-style: normal" lang="zh-Latn">Táiwān Jītǐ Diànlù Zhìzào Gǔfèn Yǒuxiàn Gōngsī</span></span></td></tr><tr><th scope="row" class="infobox-label" style="font-weight:normal;"><a href="/wiki/Bopomofo" title="Bopomofo">Bopomofo</a></th><td class="infobox-data"><span title="Chinese-language text"><span lang="zh-Bopo" style="font-style: normal;">ㄊㄞˊ ㄨㄢ ㄐㄧ ㄊㄧˇ ㄉㄧㄢˋ ㄌㄨˋ ㄓˋ ㄗㄠˋ ㄍㄨˇ ㄈㄣˋ ㄧㄡˇ ㄒㄧㄢˋ ㄍㄨㄥ ㄙ</span></span></td></tr><tr><th scope="row" class="infobox-label" style="font-weight:normal;"><a href="/wiki/Wade%E2%80%93Giles" title="Wade–Giles">Wade–Giles</a></th><td class="infobox-data"><span title="Chinese-language romanization"><span style="font-style: normal" lang="zh-Latn">T'ai<sup>2</sup>-wan<sup>1</sup> Chi<sup>1</sup>-t'i<sup>3</sup> Tien<sup>4</sup>-lu<sup>4</sup> Chih<sup>4</sup>-tsao<sup>4</sup> Ku<sup>3</sup>-fen<sup>4</sup> You<sup>3</sup>-hsien<sup>4</sup> Kung<sup>1</sup>-ssŭ<sup>1</sup></span></span></td></tr><tr><th colspan="2" class="infobox-header" style="color: #202122;background-color: #dcffc9;"><a href="/wiki/Southern_Min" title="Southern Min">Southern Min</a></th></tr><tr><th scope="row" class="infobox-label" style="font-weight:normal;"><a href="/wiki/Hokkien" title="Hokkien">Hokkien</a> <a href="/wiki/Pe%CC%8Dh-%C5%8De-j%C4%AB" title="Pe̍h-ōe-jī">POJ</a></th><td class="infobox-data"><span title="Min Nan Chinese-language romanization"><span style="font-style: normal" lang="nan-Latn">Tâi-oân chek-thé tiān-lō͘ chè-chō kó͘-hūn iú-hān kong-si</span></span></td></tr><tr><th scope="row" class="infobox-label" style="font-weight:normal;"><a href="/wiki/Taiwanese_Romanization_System" class="mw-redirect" title="Taiwanese Romanization System">Tâi-lô</a></th><td class="infobox-data"><span title="Min Nan Chinese-language romanization"><span style="font-style: normal" lang="nan-Latn">Tâi-uân tsik-thé tiān-lōo tsè-tsō kóo-hūn iú-hān kong-si</span></span></td></tr></tbody></table></td><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1257001546" /></tr><tr><th colspan="2" class="infobox-header" style="color: #202122;background-color: #b0c4de;">Abbreviation</th></tr><tr><th scope="row" class="infobox-label" style="font-weight:normal;"><a href="/wiki/Traditional_Chinese_characters" title="Traditional Chinese characters">Traditional&#160;Chinese</a></th><td class="infobox-data"><span title="Chinese-language text"><span lang="zh-Hant" style="font-size: 1rem;">台積電</span></span></td></tr><tr><td colspan="2" class="infobox-full-data"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1257001546" /><table class="infobox-subbox mw-collapsible mw-collapsed" style="display:inline-table; text-align: left;"><tbody><tr><th colspan="2" class="infobox-above" style="font-size: 100%; text-align: left;color: #202122; background-color: #f9ffbc;">Transcriptions</th></tr><tr><th colspan="2" class="infobox-header" style="color: #202122;background-color: #dcffc9;"><a href="/wiki/Standard_Chinese" title="Standard Chinese">Standard Mandarin</a></th></tr><tr><th scope="row" class="infobox-label" style="font-weight:normal;"><a href="/wiki/Hanyu_Pinyin" class="mw-redirect" title="Hanyu Pinyin">Hanyu Pinyin</a></th><td class="infobox-data"><span title="Chinese-language romanization"><span style="font-style: normal" lang="zh-Latn">Tái Jī Diàn</span></span></td></tr><tr><th scope="row" class="infobox-label" style="font-weight:normal;"><a href="/wiki/Wade%E2%80%93Giles" title="Wade–Giles">Wade–Giles</a></th><td class="infobox-data"><span title="Chinese-language romanization"><span style="font-style: normal" lang="zh-Latn">T'ai<sup>2</sup> Chi<sup>1</sup> Tien<sup>4</sup></span></span></td></tr><tr><th colspan="2" class="infobox-header" style="color: #202122;background-color: #dcffc9;"><a href="/wiki/Southern_Min" title="Southern Min">Southern Min</a></th></tr><tr><th scope="row" class="infobox-label" style="font-weight:normal;"><a href="/wiki/Hokkien" title="Hokkien">Hokkien</a> <a href="/wiki/Pe%CC%8Dh-%C5%8De-j%C4%AB" title="Pe̍h-ōe-jī">POJ</a></th><td class="infobox-data"><span title="Min Nan Chinese-language romanization"><span style="font-style: normal" lang="nan-Latn">Tâi-chek-tiān</span></span></td></tr><tr><th scope="row" class="infobox-label" style="font-weight:normal;"><a href="/wiki/Taiwanese_Romanization_System" class="mw-redirect" title="Taiwanese Romanization System">Tâi-lô</a></th><td class="infobox-data"><span title="Min Nan Chinese-language romanization"><span style="font-style: normal" lang="nan-Latn">Tâi-tsik-tiān</span></span></td></tr></tbody></table></td></tr><tr style="display:none"><td colspan="2"> </td></tr><tr style="display:none"><td colspan="2"> </td></tr><tr><th scope="row" class="infobox-label">Website</th><td class="infobox-data"><span class="url"><a rel="nofollow" class="external text" href="https://www.tsmc.com/">tsmc.com</a></span></td></tr><tr><td colspan="2" class="infobox-below"><b>Footnotes&#160;/&#32;references</b><br /><sup id="cite_ref-3" class="reference"><a href="#cite_note-3"><span class="cite-bracket">&#91;</span>3<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-AR_4-0" class="reference"><a href="#cite_note-AR-4"><span class="cite-bracket">&#91;</span>4<span class="cite-bracket">&#93;</span></a></sup></td></tr></tbody></table> <figure typeof="mw:File/Thumb"><a href="/wiki/File:TSMC_Global_R%EF%BC%86D_Center_at_night.jpg" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/5/51/TSMC_Global_R%EF%BC%86D_Center_at_night.jpg/265px-TSMC_Global_R%EF%BC%86D_Center_at_night.jpg" decoding="async" width="265" height="177" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/5/51/TSMC_Global_R%EF%BC%86D_Center_at_night.jpg/398px-TSMC_Global_R%EF%BC%86D_Center_at_night.jpg 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/5/51/TSMC_Global_R%EF%BC%86D_Center_at_night.jpg/530px-TSMC_Global_R%EF%BC%86D_Center_at_night.jpg 2x" data-file-width="7360" data-file-height="4912" /></a><figcaption>TSMC Global R&D Center in <a href="/wiki/Hsinchu" title="Hsinchu">Hsinchu</a></figcaption></figure> <p><b>Taiwan Semiconductor Manufacturing Company Limited</b> (<b>TSMC</b> or <b>Taiwan Semiconductor</b>)<sup id="cite_ref-5" class="reference"><a href="#cite_note-5"><span class="cite-bracket">&#91;</span>5<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-6" class="reference"><a href="#cite_note-6"><span class="cite-bracket">&#91;</span>6<span class="cite-bracket">&#93;</span></a></sup> is a Taiwanese multinational <a href="/wiki/Semiconductor" title="Semiconductor">semiconductor</a> contract manufacturing and design company. It is the world's most valuable semiconductor company,<sup id="cite_ref-7" class="reference"><a href="#cite_note-7"><span class="cite-bracket">&#91;</span>7<span class="cite-bracket">&#93;</span></a></sup> the world's largest <a href="/wiki/Foundry_model#Dedicated_foundry" title="Foundry model">dedicated</a> independent ("<a href="/wiki/Pure_play" title="Pure play">pure-play</a>") <a href="/wiki/Foundry_(electronics)" class="mw-redirect" title="Foundry (electronics)">semiconductor foundry</a>,<sup id="cite_ref-8" class="reference"><a href="#cite_note-8"><span class="cite-bracket">&#91;</span>8<span class="cite-bracket">&#93;</span></a></sup> and Taiwan's largest company,<sup id="cite_ref-9" class="reference"><a href="#cite_note-9"><span class="cite-bracket">&#91;</span>9<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-10" class="reference"><a href="#cite_note-10"><span class="cite-bracket">&#91;</span>10<span class="cite-bracket">&#93;</span></a></sup> with headquarters and main operations located in the <a href="/wiki/Hsinchu_Science_Park" title="Hsinchu Science Park">Hsinchu Science Park</a> in <a href="/wiki/Hsinchu" title="Hsinchu">Hsinchu</a>, Taiwan. Although the central government of Taiwan is the largest individual shareholder,<sup id="cite_ref-11" class="reference"><a href="#cite_note-11"><span class="cite-bracket">&#91;</span>11<span class="cite-bracket">&#93;</span></a></sup> the majority of TSMC is owned by foreign investors.<sup id="cite_ref-12" class="reference"><a href="#cite_note-12"><span class="cite-bracket">&#91;</span>12<span class="cite-bracket">&#93;</span></a></sup> In 2023, the company was ranked 44th in the <a href="/wiki/Forbes_Global_2000" title="Forbes Global 2000"><i>Forbes</i> Global 2000</a>.<sup id="cite_ref-13" class="reference"><a href="#cite_note-13"><span class="cite-bracket">&#91;</span>13<span class="cite-bracket">&#93;</span></a></sup> Taiwan's exports of integrated circuits amounted to $184 billion in 2022, accounted for nearly 25 percent of Taiwan's GDP. TSMC constitutes about 30 percent of the <a href="/wiki/Taiwan_Stock_Exchange" title="Taiwan Stock Exchange">Taiwan Stock Exchange</a>'s main index.<sup id="cite_ref-14" class="reference"><a href="#cite_note-14"><span class="cite-bracket">&#91;</span>14<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-15" class="reference"><a href="#cite_note-15"><span class="cite-bracket">&#91;</span>15<span class="cite-bracket">&#93;</span></a></sup> </p><p>TSMC was founded in Taiwan in 1987 by <a href="/wiki/Morris_Chang" title="Morris Chang">Morris Chang</a> as the world's first dedicated semiconductor foundry. It has long been the leading company in its field.<sup id="cite_ref-profile_16-0" class="reference"><a href="#cite_note-profile-16"><span class="cite-bracket">&#91;</span>16<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-17" class="reference"><a href="#cite_note-17"><span class="cite-bracket">&#91;</span>17<span class="cite-bracket">&#93;</span></a></sup> When Chang retired in 2018, after 31 years of TSMC leadership, Mark Liu became chairman and C. C. Wei became Chief Executive.<sup id="cite_ref-18" class="reference"><a href="#cite_note-18"><span class="cite-bracket">&#91;</span>18<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-19" class="reference"><a href="#cite_note-19"><span class="cite-bracket">&#91;</span>19<span class="cite-bracket">&#93;</span></a></sup> It has been listed on the <a href="/wiki/Taiwan_Stock_Exchange" title="Taiwan Stock Exchange">Taiwan Stock Exchange</a> since 1993; in 1997 it became the first Taiwanese company to be listed on the <a href="/wiki/New_York_Stock_Exchange" title="New York Stock Exchange">New York Stock Exchange</a>. Since 1994, TSMC has had a <a href="/wiki/Compound_annual_growth_rate" title="Compound annual growth rate">compound annual growth rate</a> (CAGR) of 17.4 percent in revenue and a CAGR of 16.1 percent in earnings.<sup id="cite_ref-20" class="reference"><a href="#cite_note-20"><span class="cite-bracket">&#91;</span>20<span class="cite-bracket">&#93;</span></a></sup> </p><p>Most <a href="/wiki/Fabless" class="mw-redirect" title="Fabless">fabless</a> semiconductor companies such as <a href="/wiki/Advanced_Micro_Devices" class="mw-redirect" title="Advanced Micro Devices">AMD</a>, <a href="/wiki/Apple_Inc." title="Apple Inc.">Apple</a>, <a href="/wiki/ARM_Holdings" class="mw-redirect" title="ARM Holdings">ARM</a>, <a href="/wiki/Broadcom_Inc." class="mw-redirect" title="Broadcom Inc.">Broadcom</a>, <a href="/wiki/Marvell_Technology_Group" class="mw-redirect" title="Marvell Technology Group">Marvell</a>, <a href="/wiki/MediaTek" title="MediaTek">MediaTek</a>, <a href="/wiki/Qualcomm" title="Qualcomm">Qualcomm</a>, and <a href="/wiki/Nvidia" title="Nvidia">Nvidia</a> are customers of TSMC, as are emerging companies such as <a href="/wiki/Allwinner_Technology" title="Allwinner Technology">Allwinner Technology</a>, <a href="/wiki/HiSilicon" title="HiSilicon">HiSilicon</a>, Spectra7, and <a href="/wiki/UNISOC" title="UNISOC">UNISOC</a>.<sup id="cite_ref-21" class="reference"><a href="#cite_note-21"><span class="cite-bracket">&#91;</span>21<span class="cite-bracket">&#93;</span></a></sup> <a href="/wiki/Programmable_logic_device" title="Programmable logic device">Programmable logic device</a> companies <a href="/wiki/Xilinx" title="Xilinx">Xilinx</a> and previously <a href="/wiki/Altera" title="Altera">Altera</a> also make or made use of TSMC's foundry services.<sup id="cite_ref-22" class="reference"><a href="#cite_note-22"><span class="cite-bracket">&#91;</span>22<span class="cite-bracket">&#93;</span></a></sup> Some <a href="/wiki/Integrated_device_manufacturer" title="Integrated device manufacturer">integrated device manufacturers</a> that have their own <a href="/wiki/Semiconductor_fabrication_plant" title="Semiconductor fabrication plant">fabrication facilities</a>, such as <a href="/wiki/Intel_Corporation" class="mw-redirect" title="Intel Corporation">Intel</a>, <a href="/wiki/NXP" class="mw-redirect" title="NXP">NXP</a>, <a href="/wiki/STMicroelectronics" title="STMicroelectronics">STMicroelectronics</a>, and <a href="/wiki/Texas_Instruments" title="Texas Instruments">Texas Instruments</a>, outsource some of their production to TSMC.<sup id="cite_ref-23" class="reference"><a href="#cite_note-23"><span class="cite-bracket">&#91;</span>23<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-24" class="reference"><a href="#cite_note-24"><span class="cite-bracket">&#91;</span>24<span class="cite-bracket">&#93;</span></a></sup> At least one semiconductor company, <a href="/wiki/LSI_Corporation" title="LSI Corporation">LSI</a>, re-sells TSMC wafers through its <a href="/wiki/Application-specific_integrated_circuit" title="Application-specific integrated circuit">ASIC</a> design services and design <a href="/wiki/Intellectual_property" title="Intellectual property">IP</a> portfolio.<sup class="noprint Inline-Template" style="white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:Accuracy_dispute#Disputed_statement" title="Wikipedia:Accuracy dispute"><span title="Firstly, needs a citation (it&#39;s not mentioned other than in the lede). Secondly, Broadcom, which bought LSI, divested many of its divisions, so the fate of ASIC design services is unclear. (June 2022)">dubious</span></a>&#32;&#8211; <a href="/wiki/Talk:TSMC#Dubious" title="Talk:TSMC">discuss</a></i>&#93;</sup> </p><p>TSMC has a global capacity of about thirteen million 300&#160;mm-equivalent wafers per year as of 2020 and produces chips for customers with process nodes from 2 microns to <a href="/wiki/3_nm_process" title="3 nm process">3 nanometres</a>. TSMC was the first foundry to market <a href="/wiki/7_nm_process" title="7 nm process">7-nanometre</a> and <a href="/wiki/5_nm_process" title="5 nm process">5-nanometre</a> (used by the 2020 <a href="/wiki/Apple_A14" title="Apple A14">Apple A14</a> and <a href="/wiki/Apple_M1" title="Apple M1">M1</a> <a href="/wiki/System_on_a_chip" title="System on a chip">SoCs</a>, the MediaTek <a href="/wiki/List_of_MediaTek_processors#Dimensity_8000_Series" class="mw-redirect" title="List of MediaTek processors">Dimensity 8100</a>, and <a href="/wiki/List_of_AMD_Ryzen_processors#Raphael_desktop" title="List of AMD Ryzen processors">AMD Ryzen 7000</a> series processors) production capabilities, and the first to commercialize <a href="/wiki/ASML_Holding" title="ASML Holding">ASML</a>'s <a href="/wiki/Extreme_ultraviolet_lithography" title="Extreme ultraviolet lithography">extreme ultraviolet (EUV) lithography</a> technology in high volume. </p> <meta property="mw:PageProp/toc" /> <div class="mw-heading mw-heading2"><h2 id="History">History</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=1" title="Edit section: History"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>In 1986, <a href="/wiki/Li_Kwoh-ting" title="Li Kwoh-ting">Li Kwoh-ting</a>, representing the <a href="/wiki/Executive_Yuan" title="Executive Yuan">Executive Yuan</a>, invited Morris Chang to serve as the president of the <a href="/wiki/Industrial_Technology_Research_Institute" title="Industrial Technology Research Institute">Industrial Technology Research Institute</a> (ITRI) and offered him a blank check to build Taiwan's chip industry. At that time, the Taiwanese government wanted to develop its semiconductor industry, but its high investment and high risk nature made it difficult to find investors. <a href="/wiki/Texas_Instruments" title="Texas Instruments">Texas Instruments</a> and <a href="/wiki/Intel" title="Intel">Intel</a> turned down Chang. Only <a href="/wiki/Philips" title="Philips">Philips</a> was willing to sign a joint venture contract with Taiwan to put up $58&#160;million, transfer its production technology, and license intellectual property in exchange for a 27.5 percent stake in TSMC. Alongside generous tax benefits, the Taiwanese government, through the National Development Fund, Executive Yuan, provided another 48 percent of the startup capital for TSMC, and the rest of the capital was raised from several of the island's wealthiest families, who owned firms that specialized in plastics, textiles, and chemicals. These wealthy Taiwanese were directly "asked" by the government to invest. From day one, TSMC was not really a private business: it was a project of the Taiwanese state.<sup id="cite_ref-25" class="reference"><a href="#cite_note-25"><span class="cite-bracket">&#91;</span>25<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-26" class="reference"><a href="#cite_note-26"><span class="cite-bracket">&#91;</span>26<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-27" class="reference"><a href="#cite_note-27"><span class="cite-bracket">&#91;</span>27<span class="cite-bracket">&#93;</span></a></sup> Its first CEO was James E. Dykes, who left after a year and Morris Chang became the CEO.<sup id="cite_ref-28" class="reference"><a href="#cite_note-28"><span class="cite-bracket">&#91;</span>28<span class="cite-bracket">&#93;</span></a></sup> </p><p>Since then, the company has continued to grow, albeit subject to the cycles of demand. In 2011, the company planned to increase research and development expenditures by almost 39 percent to <a href="/wiki/New_Taiwan_dollar" title="New Taiwan dollar">NT$</a>50&#160;billion to fend off growing competition.<sup id="cite_ref-29" class="reference"><a href="#cite_note-29"><span class="cite-bracket">&#91;</span>29<span class="cite-bracket">&#93;</span></a></sup> The company also planned to expand capacity by 30 percent in 2011 to meet strong market demand.<sup id="cite_ref-30" class="reference"><a href="#cite_note-30"><span class="cite-bracket">&#91;</span>30<span class="cite-bracket">&#93;</span></a></sup> In May 2014, TSMC's board of directors approved capital appropriations of US$568&#160;million to increase and improve manufacturing capabilities after the company forecast higher than expected demand.<sup id="cite_ref-Q2_2014_forecast_31-0" class="reference"><a href="#cite_note-Q2_2014_forecast-31"><span class="cite-bracket">&#91;</span>31<span class="cite-bracket">&#93;</span></a></sup> In August 2014, TSMC's board of directors approved additional capital appropriations of US$3.05&#160;billion.<sup id="cite_ref-32" class="reference"><a href="#cite_note-32"><span class="cite-bracket">&#91;</span>32<span class="cite-bracket">&#93;</span></a></sup> </p><p>In 2011, it was reported that TSMC had begun trial production of the <a href="/wiki/Apple_A5" title="Apple A5">A5</a> SoC and <a href="/wiki/Apple_A6" title="Apple A6">A6</a> SoCs for Apple's <a href="/wiki/IPad" title="IPad">iPad</a> and <a href="/wiki/IPhone" title="IPhone">iPhone</a> devices.<sup id="cite_ref-33" class="reference"><a href="#cite_note-33"><span class="cite-bracket">&#91;</span>33<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-34" class="reference"><a href="#cite_note-34"><span class="cite-bracket">&#91;</span>34<span class="cite-bracket">&#93;</span></a></sup> According to reports,<sup id="cite_ref-35" class="reference"><a href="#cite_note-35"><span class="cite-bracket">&#91;</span>35<span class="cite-bracket">&#93;</span></a></sup> in May 2014 Apple sourced its <a href="/wiki/Apple_A8" title="Apple A8">A8</a> and <a href="/wiki/Apple_A8X" title="Apple A8X">A8X</a> SoCs from TSMC.<sup id="cite_ref-TechNews_Apple_A8_article_36-0" class="reference"><a href="#cite_note-TechNews_Apple_A8_article-36"><span class="cite-bracket">&#91;</span>36<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-CNET_64-bit_processor_article_37-0" class="reference"><a href="#cite_note-CNET_64-bit_processor_article-37"><span class="cite-bracket">&#91;</span>37<span class="cite-bracket">&#93;</span></a></sup> Apple then sourced the <a href="/wiki/Apple_A9" title="Apple A9">A9</a> SoC with both TSMC and Samsung (to increase volume for <a href="/wiki/IPhone_6S" class="mw-redirect" title="IPhone 6S">iPhone 6S</a> launch) and the <a href="/wiki/Apple_A9X" title="Apple A9X">A9X</a> exclusively with TSMC, thus resolving the issue of sourcing a chip in two different <a href="/wiki/Microarchitecture" title="Microarchitecture">microarchitecture</a> sizes. As of 2014, Apple was TSMC's most important customer.<sup id="cite_ref-CNET_64-bit_processor_article_37-1" class="reference"><a href="#cite_note-CNET_64-bit_processor_article-37"><span class="cite-bracket">&#91;</span>37<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-Taipei_Times_Apple_article_38-0" class="reference"><a href="#cite_note-Taipei_Times_Apple_article-38"><span class="cite-bracket">&#91;</span>38<span class="cite-bracket">&#93;</span></a></sup> In October 2014, <a href="/wiki/ARM_Holdings" class="mw-redirect" title="ARM Holdings">ARM</a> and TSMC announced a new multi-year agreement for the development of ARM based 10&#160;nm <a href="/wiki/Multigate_device#FinFET" title="Multigate device">FinFET</a> processors.<sup id="cite_ref-39" class="reference"><a href="#cite_note-39"><span class="cite-bracket">&#91;</span>39<span class="cite-bracket">&#93;</span></a></sup> </p><p>Over the objection of the <a href="/wiki/Presidency_of_Tsai_Ing-wen" title="Presidency of Tsai Ing-wen">Tsai Ing-wen administration</a>, in March 2017, TSMC invested US$3 billion in <a href="/wiki/Nanjing" title="Nanjing">Nanjing</a> to develop a manufacturing subsidiary there.<sup id="cite_ref-40" class="reference"><a href="#cite_note-40"><span class="cite-bracket">&#91;</span>40<span class="cite-bracket">&#93;</span></a></sup><sup class="reference nowrap"><span title="Page: 74">&#58;&#8202;74&#8202;</span></sup> </p><p>In 2020, TSMC became the first semiconductor company in the world to sign up for the <a href="/wiki/The_Climate_Group" class="mw-redirect" title="The Climate Group">RE100</a> initiative, pledging to use 100 percent <a href="/wiki/Renewable_energy" title="Renewable energy">renewable energy</a> by 2050.<sup id="cite_ref-41" class="reference"><a href="#cite_note-41"><span class="cite-bracket">&#91;</span>41<span class="cite-bracket">&#93;</span></a></sup> TSMC accounts for roughly 5 percent of the energy consumption in Taiwan, even exceeding that of the capital city Taipei. This initiative was thus expected to accelerate the transformation to renewable energy in the country.<sup id="cite_ref-42" class="reference"><a href="#cite_note-42"><span class="cite-bracket">&#91;</span>42<span class="cite-bracket">&#93;</span></a></sup> For 2020, TSMC had a net income of US$17.60&#160;billion on a consolidated revenue of US$45.51&#160;billion, an increase of 57.5 percent and 31.4 percent respectively from the 2019 level of US$11.18&#160;billion net income and US$34.63&#160;billion consolidated revenue.<sup id="cite_ref-43" class="reference"><a href="#cite_note-43"><span class="cite-bracket">&#91;</span>43<span class="cite-bracket">&#93;</span></a></sup> Its <a href="/wiki/Market_capitalization" title="Market capitalization">market capitalization</a> was over $550&#160;billion in April 2021. TSMC's revenue in the first quarter of 2020 reached US$10&#160;billion,<sup id="cite_ref-44" class="reference"><a href="#cite_note-44"><span class="cite-bracket">&#91;</span>44<span class="cite-bracket">&#93;</span></a></sup> while its market capitalization was US$254&#160;billion.<sup id="cite_ref-TSM-YahooFinance_45-0" class="reference"><a href="#cite_note-TSM-YahooFinance-45"><span class="cite-bracket">&#91;</span>45<span class="cite-bracket">&#93;</span></a></sup> TSMC's market capitalization reached a value of NT$1.9&#160;trillion (US$63.4&#160;billion) in December 2010.<sup id="cite_ref-46" class="reference"><a href="#cite_note-46"><span class="cite-bracket">&#91;</span>46<span class="cite-bracket">&#93;</span></a></sup> It was ranked 70th in the <a href="/wiki/FT_Global_500" class="mw-redirect" title="FT Global 500">FT Global 500</a> 2013 list of the world's most highly valued companies with a capitalization of US$86.7&#160;billion,<sup id="cite_ref-47" class="reference"><a href="#cite_note-47"><span class="cite-bracket">&#91;</span>47<span class="cite-bracket">&#93;</span></a></sup> while reaching US$110&#160;billion in May 2014.<sup id="cite_ref-TSM-YahooFinance_45-1" class="reference"><a href="#cite_note-TSM-YahooFinance-45"><span class="cite-bracket">&#91;</span>45<span class="cite-bracket">&#93;</span></a></sup> In March 2017, TSMC's market capitalization surpassed that of semiconductor giant <a href="/wiki/Intel" title="Intel">Intel</a> for the first time, hitting NT$5.14&#160;trillion (US$168.4&#160;billion), with Intel's at US$165.7&#160;billion.<sup id="cite_ref-48" class="reference"><a href="#cite_note-48"><span class="cite-bracket">&#91;</span>48<span class="cite-bracket">&#93;</span></a></sup> On 27 June 2020, TSMC briefly became the world's 10th most valuable company, with a market capitalization of US$410&#160;billion.<sup id="cite_ref-49" class="reference"><a href="#cite_note-49"><span class="cite-bracket">&#91;</span>49<span class="cite-bracket">&#93;</span></a></sup> </p><p>To mitigate business risks in the event of war between Taiwan and the People's Republic of China, since the beginning of the 2020s, TSMC has expanded its geographic operations, opening new fabs in Japan and the United States, with further plans for expansion into Germany.<sup id="cite_ref-50" class="reference"><a href="#cite_note-50"><span class="cite-bracket">&#91;</span>50<span class="cite-bracket">&#93;</span></a></sup> In July 2020, TSMC confirmed it would halt the shipment of silicon wafers to Chinese telecommunications equipment manufacturer <a href="/wiki/Huawei" title="Huawei">Huawei</a> and its subsidiary <a href="/wiki/HiSilicon" title="HiSilicon">HiSilicon</a> by 14 September.<sup id="cite_ref-51" class="reference"><a href="#cite_note-51"><span class="cite-bracket">&#91;</span>51<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-52" class="reference"><a href="#cite_note-52"><span class="cite-bracket">&#91;</span>52<span class="cite-bracket">&#93;</span></a></sup> In November 2020, officials in <a href="/wiki/Phoenix,_Arizona" title="Phoenix, Arizona">Phoenix, Arizona</a> in the United States approved TSMC's plan to build a $12&#160;billion chip plant in the city. The decision to locate a plant in the US came after the <a href="/wiki/First_presidency_of_Donald_Trump" title="First presidency of Donald Trump">Trump administration</a> warned about the issues concerning the world's electronics made outside of the U.S.<sup id="cite_ref-53" class="reference"><a href="#cite_note-53"><span class="cite-bracket">&#91;</span>53<span class="cite-bracket">&#93;</span></a></sup> In 2021, news reports claimed that the facility might be tripled to roughly a $35&#160;billion investment with six factories.<sup id="cite_ref-54" class="reference"><a href="#cite_note-54"><span class="cite-bracket">&#91;</span>54<span class="cite-bracket">&#93;</span></a></sup> See <a href="#Arizona">TSMC §&#160;Arizona</a> for more details. </p><p>In June 2021, following nearly a year of public controversy surrounding its <a href="/wiki/COVID-19_vaccination_in_Taiwan#Timeline" title="COVID-19 vaccination in Taiwan">COVID-19 vaccine shortage</a>,<sup id="cite_ref-Reuters_55-0" class="reference"><a href="#cite_note-Reuters-55"><span class="cite-bracket">&#91;</span>55<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-56" class="reference"><a href="#cite_note-56"><span class="cite-bracket">&#91;</span>56<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-FinTimes_57-0" class="reference"><a href="#cite_note-FinTimes-57"><span class="cite-bracket">&#91;</span>57<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-58" class="reference"><a href="#cite_note-58"><span class="cite-bracket">&#91;</span>58<span class="cite-bracket">&#93;</span></a></sup> with only about 10 percent of its 23.5&#160;million population vaccinated;<sup id="cite_ref-Reuters_55-1" class="reference"><a href="#cite_note-Reuters-55"><span class="cite-bracket">&#91;</span>55<span class="cite-bracket">&#93;</span></a></sup> Taiwan agreed to allow TSMC and <a href="/wiki/Foxconn" title="Foxconn">Foxconn</a> to jointly negotiate purchasing <a href="/wiki/COVID-19_vaccine" title="COVID-19 vaccine">COVID-19 vaccines</a> on its behalf.<sup id="cite_ref-FinTimes_57-1" class="reference"><a href="#cite_note-FinTimes-57"><span class="cite-bracket">&#91;</span>57<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-Reuters_55-2" class="reference"><a href="#cite_note-Reuters-55"><span class="cite-bracket">&#91;</span>55<span class="cite-bracket">&#93;</span></a></sup> In July 2021, <a href="/wiki/BioNTech" title="BioNTech">BioNTech</a>'s Chinese sales agent <a href="/wiki/Fosun_Pharma" title="Fosun Pharma">Fosun Pharma</a> announced that the two technology manufacturers had reached an agreement to purchase 10 million <a href="/wiki/Pfizer%E2%80%93BioNTech_COVID-19_vaccine" title="Pfizer–BioNTech COVID-19 vaccine">BioNTech COVID-19 vaccines</a> from Germany.<sup id="cite_ref-FinTimes_57-2" class="reference"><a href="#cite_note-FinTimes-57"><span class="cite-bracket">&#91;</span>57<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-Reuters_55-3" class="reference"><a href="#cite_note-Reuters-55"><span class="cite-bracket">&#91;</span>55<span class="cite-bracket">&#93;</span></a></sup> TSMC and Foxconn pledged to each buy five million doses for up to $175&#160;million,<sup id="cite_ref-FinTimes_57-3" class="reference"><a href="#cite_note-FinTimes-57"><span class="cite-bracket">&#91;</span>57<span class="cite-bracket">&#93;</span></a></sup> for donation to Taiwan's vaccination program.<sup id="cite_ref-Reuters_55-4" class="reference"><a href="#cite_note-Reuters-55"><span class="cite-bracket">&#91;</span>55<span class="cite-bracket">&#93;</span></a></sup> </p><p>Due to the <a href="/wiki/2020%E2%80%932022_global_chip_shortage" class="mw-redirect" title="2020–2022 global chip shortage">2020–2023 global semiconductor shortage</a>, Taiwanese competitor <a href="/wiki/United_Microelectronics_Corporation" title="United Microelectronics Corporation">United Microelectronics</a> raised prices approximately 7–9 percent, and prices for TSMC's more mature processors were raised by about 20 percent.<sup id="cite_ref-59" class="reference"><a href="#cite_note-59"><span class="cite-bracket">&#91;</span>59<span class="cite-bracket">&#93;</span></a></sup> In November 2021, TSMC and <a href="/wiki/Sony" title="Sony">Sony</a> announced that TSMC would be establishing a new subsidiary named <a href="/wiki/Japan_Advanced_Semiconductor_Manufacturing" title="Japan Advanced Semiconductor Manufacturing">Japan Advanced Semiconductor Manufacturing</a> (JASM) in <a href="/wiki/Kumamoto" title="Kumamoto">Kumamoto</a>, Japan. The subsidiary manufactures 22- and 28-nanometer processes. The initial investment was approximately $7&#160;billion, with Sony investing approximately $500&#160;million for a less than 20 percent stake. Construction of the fabrication plant started in 2022, with production beginning two years later in 2024.<sup id="cite_ref-60" class="reference"><a href="#cite_note-60"><span class="cite-bracket">&#91;</span>60<span class="cite-bracket">&#93;</span></a></sup> </p><p>In February 2022, TSMC, Sony Semiconductor Solutions, and <a href="/wiki/Denso" title="Denso">Denso</a> announced that Denso would take a more than 10 percent equity stake in JASM with a US$0.35&#160;billion investment, amid a scarcity of chips for automobiles.<sup id="cite_ref-TaipeiTimes20220216_61-0" class="reference"><a href="#cite_note-TaipeiTimes20220216-61"><span class="cite-bracket">&#91;</span>61<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-PressRelease20220215_62-0" class="reference"><a href="#cite_note-PressRelease20220215-62"><span class="cite-bracket">&#91;</span>62<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-USNews20220215_63-0" class="reference"><a href="#cite_note-USNews20220215-63"><span class="cite-bracket">&#91;</span>63<span class="cite-bracket">&#93;</span></a></sup> TSMC will also enhance JASM's capabilities with 12/16 nanometer FinFET process technology in addition to the previously announced 22/28 nanometer process and increase monthly production capacity from 45,000 to 55,000 12-inch wafers.<sup id="cite_ref-TaipeiTimes20220216_61-1" class="reference"><a href="#cite_note-TaipeiTimes20220216-61"><span class="cite-bracket">&#91;</span>61<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-PressRelease20220215_62-1" class="reference"><a href="#cite_note-PressRelease20220215-62"><span class="cite-bracket">&#91;</span>62<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-USNews20220215_63-1" class="reference"><a href="#cite_note-USNews20220215-63"><span class="cite-bracket">&#91;</span>63<span class="cite-bracket">&#93;</span></a></sup> The total capital expenditure for JASM's Kumamoto fab is estimated to be approximately US$8.6&#160;billion.<sup id="cite_ref-TaipeiTimes20220216_61-2" class="reference"><a href="#cite_note-TaipeiTimes20220216-61"><span class="cite-bracket">&#91;</span>61<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-PressRelease20220215_62-2" class="reference"><a href="#cite_note-PressRelease20220215-62"><span class="cite-bracket">&#91;</span>62<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-USNews20220215_63-2" class="reference"><a href="#cite_note-USNews20220215-63"><span class="cite-bracket">&#91;</span>63<span class="cite-bracket">&#93;</span></a></sup> The Japanese government wants JASM to supply essential chips to Japan's electronic device makers and auto companies as trade friction between the United States and China threatens to disrupt <a href="/wiki/Supply_chain" title="Supply chain">supply chains</a>.<sup id="cite_ref-TaipeiTimes20220216_61-3" class="reference"><a href="#cite_note-TaipeiTimes20220216-61"><span class="cite-bracket">&#91;</span>61<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-PressRelease20220215_62-3" class="reference"><a href="#cite_note-PressRelease20220215-62"><span class="cite-bracket">&#91;</span>62<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-USNews20220215_63-3" class="reference"><a href="#cite_note-USNews20220215-63"><span class="cite-bracket">&#91;</span>63<span class="cite-bracket">&#93;</span></a></sup> The fab is expected to directly create about 1,700 high-tech professional jobs.<sup id="cite_ref-TaipeiTimes20220216_61-4" class="reference"><a href="#cite_note-TaipeiTimes20220216-61"><span class="cite-bracket">&#91;</span>61<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-PressRelease20220215_62-4" class="reference"><a href="#cite_note-PressRelease20220215-62"><span class="cite-bracket">&#91;</span>62<span class="cite-bracket">&#93;</span></a></sup> </p><p>In July 2022, TSMC announced the company had posted a record profit in the second quarter, with net income up 76.4 percent year-over-year. The company saw steady growth in the automotive and data center sectors with some weakness in the consumer market. Some of the <a href="/wiki/Capital_expenditures" class="mw-redirect" title="Capital expenditures">capital expenditures</a> are projected to be pushed up to 2023.<sup id="cite_ref-64" class="reference"><a href="#cite_note-64"><span class="cite-bracket">&#91;</span>64<span class="cite-bracket">&#93;</span></a></sup> In the third quarter of 2022, <a href="/wiki/Berkshire_Hathaway" title="Berkshire Hathaway">Berkshire Hathaway</a> disclosed purchase of 60 million shares in TSMC, acquiring a $4.1&#160;billion stake, making it one of its largest holdings in a technology company.<sup id="cite_ref-65" class="reference"><a href="#cite_note-65"><span class="cite-bracket">&#91;</span>65<span class="cite-bracket">&#93;</span></a></sup> However, Berkshire sold off 86.2 percent of its stake by the next quarter citing geopolitical tensions as a factor.<sup id="cite_ref-66" class="reference"><a href="#cite_note-66"><span class="cite-bracket">&#91;</span>66<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-67" class="reference"><a href="#cite_note-67"><span class="cite-bracket">&#91;</span>67<span class="cite-bracket">&#93;</span></a></sup> In February 2024, TSMC <a href="/wiki/Share_(finance)" title="Share (finance)">shares</a> hit a record high, with the high on the trading day reaching <a href="/wiki/NT$" class="mw-redirect" title="NT$">NT$</a>709 and closing at NT$697 (+8%). This was influenced by the increase in the price target on chip designer <a href="/wiki/Nvidia" title="Nvidia">Nvidia</a>. TSMC currently manufactures <a href="/wiki/3_nm_process" title="3 nm process">3-nanometer chips</a> and plans to start <a href="/wiki/2_nm_process" title="2 nm process">2-nanometer</a> mass production in 2025.<sup id="cite_ref-68" class="reference"><a href="#cite_note-68"><span class="cite-bracket">&#91;</span>68<span class="cite-bracket">&#93;</span></a></sup> It is included in the <a href="/wiki/FTSE4Good_Index" title="FTSE4Good Index">FTSE4Good Index</a>, being the only Asian company in the top ten.<sup id="cite_ref-69" class="reference"><a href="#cite_note-69"><span class="cite-bracket">&#91;</span>69<span class="cite-bracket">&#93;</span></a></sup> </p><p>In October 2024, TSMC informed the <a href="/wiki/United_States_Department_of_Commerce" title="United States Department of Commerce">United States Department of Commerce</a> about a potential breach of <a href="/wiki/Export_control" title="Export control">export controls</a> in which one of its most advanced chips was sent to Huawei via another company with ties to the Chinese government.<sup id="cite_ref-70" class="reference"><a href="#cite_note-70"><span class="cite-bracket">&#91;</span>70<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-71" class="reference"><a href="#cite_note-71"><span class="cite-bracket">&#91;</span>71<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-72" class="reference"><a href="#cite_note-72"><span class="cite-bracket">&#91;</span>72<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Patent_dispute_with_GlobalFoundries">Patent dispute with GlobalFoundries</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=2" title="Edit section: Patent dispute with GlobalFoundries"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>On 26 August 2019, <a href="/wiki/GlobalFoundries" title="GlobalFoundries">GlobalFoundries</a> filed several patent infringement lawsuits against TSMC in the US and Germany claiming that TSMC's 7&#160;nm, 10&#160;nm, 12&#160;nm, 16&#160;nm, and 28&#160;nm nodes infringed 16 of their patents.<sup id="cite_ref-73" class="reference"><a href="#cite_note-73"><span class="cite-bracket">&#91;</span>73<span class="cite-bracket">&#93;</span></a></sup> GlobalFoundries named twenty defendants.<sup id="cite_ref-74" class="reference"><a href="#cite_note-74"><span class="cite-bracket">&#91;</span>74<span class="cite-bracket">&#93;</span></a></sup> TSMC said that they were confident that the allegations were baseless.<sup id="cite_ref-75" class="reference"><a href="#cite_note-75"><span class="cite-bracket">&#91;</span>75<span class="cite-bracket">&#93;</span></a></sup> On 1 October 2019, TSMC filed <a href="/wiki/Patent_infringement" title="Patent infringement">patent infringement</a> lawsuits against GlobalFoundries in the US, Germany and Singapore, claiming that GlobalFoundries' 12&#160;nm, 14&#160;nm, 22&#160;nm, 28&#160;nm and 40&#160;nm nodes infringed 25 of their patents.<sup id="cite_ref-76" class="reference"><a href="#cite_note-76"><span class="cite-bracket">&#91;</span>76<span class="cite-bracket">&#93;</span></a></sup> On 29 October 2019, TSMC and GlobalFoundries announced a resolution to the dispute, agreeing to a <a href="/wiki/Term_of_patent" title="Term of patent">life-of-patents</a> <a href="/wiki/Cross-license" class="mw-redirect" title="Cross-license">cross-license</a> for all of their existing semiconductor patents and new patents for the next 10 years.<sup id="cite_ref-77" class="reference"><a href="#cite_note-77"><span class="cite-bracket">&#91;</span>77<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-78" class="reference"><a href="#cite_note-78"><span class="cite-bracket">&#91;</span>78<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-79" class="reference"><a href="#cite_note-79"><span class="cite-bracket">&#91;</span>79<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-80" class="reference"><a href="#cite_note-80"><span class="cite-bracket">&#91;</span>80<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-81" class="reference"><a href="#cite_note-81"><span class="cite-bracket">&#91;</span>81<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="Corporate_affairs">Corporate affairs</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=3" title="Edit section: Corporate affairs"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <div class="mw-heading mw-heading3"><h3 id="Senior_leadership">Senior leadership</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=4" title="Edit section: Senior leadership"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <ul><li><i>Chief Executive:</i> C. C. Wei (since June 2018)<sup id="cite_ref-Bloomberg20240604_82-0" class="reference"><a href="#cite_note-Bloomberg20240604-82"><span class="cite-bracket">&#91;</span>82<span class="cite-bracket">&#93;</span></a></sup></li> <li><i>Chairman:</i> C. C. Wei (since June 2024)<sup id="cite_ref-Bloomberg20240604_82-1" class="reference"><a href="#cite_note-Bloomberg20240604-82"><span class="cite-bracket">&#91;</span>82<span class="cite-bracket">&#93;</span></a></sup></li></ul> <div class="mw-heading mw-heading4"><h4 id="List_of_former_chairmen">List of former chairmen</h4><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=5" title="Edit section: List of former chairmen"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <ol><li><a href="/wiki/Morris_Chang" title="Morris Chang">Morris Chang</a> (1987–2018)</li> <li><a href="/w/index.php?title=Mark_Liu&amp;action=edit&amp;redlink=1" class="new" title="Mark Liu (page does not exist)">Mark Liu</a> (2018–2024)<sup id="cite_ref-Bloomberg20240604_82-2" class="reference"><a href="#cite_note-Bloomberg20240604-82"><span class="cite-bracket">&#91;</span>82<span class="cite-bracket">&#93;</span></a></sup></li></ol> <div class="mw-heading mw-heading4"><h4 id="List_of_former_chief_executives">List of former chief executives</h4><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=6" title="Edit section: List of former chief executives"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <ol><li>Morris Chang (1987–2005)</li> <li><a href="/wiki/Rick_Tsai" title="Rick Tsai">Rick Tsai</a> (2005–2009)</li> <li>Morris Chang (2009–2013); second term</li> <li>C. C. Wei and Mark Liu (2013–2018); co-CEO's<sup id="cite_ref-Bloomberg20240604_82-3" class="reference"><a href="#cite_note-Bloomberg20240604-82"><span class="cite-bracket">&#91;</span>82<span class="cite-bracket">&#93;</span></a></sup></li></ol> <div class="mw-heading mw-heading3"><h3 id="Business_trends">Business trends</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=7" title="Edit section: Business trends"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>The key trends for TSMC are (as of the financial year ending December 31):<sup id="cite_ref-83" class="reference"><a href="#cite_note-83"><span class="cite-bracket">&#91;</span>83<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-84" class="reference"><a href="#cite_note-84"><span class="cite-bracket">&#91;</span>84<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-85" class="reference"><a href="#cite_note-85"><span class="cite-bracket">&#91;</span>85<span class="cite-bracket">&#93;</span></a></sup> </p> <table class="wikitable" style="text-align:center"> <tbody><tr> <th> </th> <th>Revenue<br />(NT$ tr) </th> <th>Net profit<br />(NT$ bn) </th> <th>Employees<br />(k)<sup id="cite_ref-86" class="reference"><a href="#cite_note-86"><span class="cite-bracket">&#91;</span>86<span class="cite-bracket">&#93;</span></a></sup> </th></tr> <tr> <td>2014 </td> <td>0.76 </td> <td>263 </td> <td>43.5 </td></tr> <tr> <td>2015 </td> <td>0.84 </td> <td>306 </td> <td>45.2 </td></tr> <tr> <td>2016 </td> <td>0.94 </td> <td>334 </td> <td>46.9 </td></tr> <tr> <td>2017 </td> <td>0.97 </td> <td>343 </td> <td>48.6 </td></tr> <tr> <td>2018 </td> <td>1.0 </td> <td>351 </td> <td>48.7 </td></tr> <tr> <td>2019 </td> <td>1.0 </td> <td>345 </td> <td>51.2 </td></tr> <tr> <td>2020 </td> <td>1.3 </td> <td>517 </td> <td>56.8 </td></tr> <tr> <td>2021 </td> <td>1.5 </td> <td>596 </td> <td>65.1 </td></tr> <tr> <td>2022 </td> <td>2.2 </td> <td>1,016 </td> <td>73.0 </td></tr> <tr> <td>2023 </td> <td>2.1 </td> <td>838 </td> <td>76.4 </td></tr></tbody></table> <p>TSMC and the rest of the foundry industry are exposed to the <a href="/wiki/Cyclical_industrial_dynamics" title="Cyclical industrial dynamics">cyclical industrial dynamics</a> of the semiconductor industry. TSMC must ensure its production capacity to meet strong customer demand during upturns; however, during downturns, it must contend with excess capacity because of weak demand and the high fixed costs associated with its manufacturing facilities.<sup id="cite_ref-Morningstar_mobile_chips_article_87-0" class="reference"><a href="#cite_note-Morningstar_mobile_chips_article-87"><span class="cite-bracket">&#91;</span>87<span class="cite-bracket">&#93;</span></a></sup> As a result, the company's financial results tend to fluctuate with a cycle time of a few years. This is more apparent in earnings than revenues because of the general trend of revenue and capacity growth. TSMC's business has generally also been seasonal, with a peak in Q3 and a low in Q1. </p><p>In 2014, TSMC was at the forefront of the foundry industry for high-performance, low-power applications,<sup id="cite_ref-EE_Times_Q1_2014_results_article_88-0" class="reference"><a href="#cite_note-EE_Times_Q1_2014_results_article-88"><span class="cite-bracket">&#91;</span>88<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-SimmTester_28nm_article_89-0" class="reference"><a href="#cite_note-SimmTester_28nm_article-89"><span class="cite-bracket">&#91;</span>89<span class="cite-bracket">&#93;</span></a></sup> leading major smartphone chip companies, such as <a href="/wiki/Qualcomm" title="Qualcomm">Qualcomm</a>,<sup id="cite_ref-prn4_90-0" class="reference"><a href="#cite_note-prn4-90"><span class="cite-bracket">&#91;</span>90<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-TSMC_banking_on_28nm_91-0" class="reference"><a href="#cite_note-TSMC_banking_on_28nm-91"><span class="cite-bracket">&#91;</span>91<span class="cite-bracket">&#93;</span></a></sup> <a href="/wiki/Mediatek" class="mw-redirect" title="Mediatek">Mediatek</a>,<sup id="cite_ref-TSMC_banking_on_28nm_91-1" class="reference"><a href="#cite_note-TSMC_banking_on_28nm-91"><span class="cite-bracket">&#91;</span>91<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-DigiTimes_MediaTek_GlobalFoundries_92-0" class="reference"><a href="#cite_note-DigiTimes_MediaTek_GlobalFoundries-92"><span class="cite-bracket">&#91;</span>92<span class="cite-bracket">&#93;</span></a></sup> and <a href="/wiki/Apple_Inc." title="Apple Inc.">Apple</a>,<sup id="cite_ref-TechNews_Apple_A8_article_36-1" class="reference"><a href="#cite_note-TechNews_Apple_A8_article-36"><span class="cite-bracket">&#91;</span>36<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-Taipei_Times_Apple_article_38-1" class="reference"><a href="#cite_note-Taipei_Times_Apple_article-38"><span class="cite-bracket">&#91;</span>38<span class="cite-bracket">&#93;</span></a></sup> to place an increasing amount of orders.<sup id="cite_ref-EE_Times_Q1_2014_results_article_88-1" class="reference"><a href="#cite_note-EE_Times_Q1_2014_results_article-88"><span class="cite-bracket">&#91;</span>88<span class="cite-bracket">&#93;</span></a></sup> While the competitors in the foundry industry (primarily <a href="/wiki/GlobalFoundries" title="GlobalFoundries">GlobalFoundries</a> and <a href="/wiki/United_Microelectronics_Corporation" title="United Microelectronics Corporation">United Microelectronics Corporation</a>) have encountered difficulties ramping leading-edge 28&#160;nm capacity,<sup id="cite_ref-DigiTimes_MediaTek_GlobalFoundries_92-1" class="reference"><a href="#cite_note-DigiTimes_MediaTek_GlobalFoundries-92"><span class="cite-bracket">&#91;</span>92<span class="cite-bracket">&#93;</span></a></sup> the leading <a href="/wiki/Integrated_Device_Manufacturer" class="mw-redirect" title="Integrated Device Manufacturer">Integrated Device Manufacturers</a> such as <a href="/wiki/Samsung" title="Samsung">Samsung</a> and <a href="/wiki/Intel" title="Intel">Intel</a> that seek to offer foundry capacity to third parties were also unable to match the requirements for advanced mobile applications.<sup id="cite_ref-SimmTester_28nm_article_89-1" class="reference"><a href="#cite_note-SimmTester_28nm_article-89"><span class="cite-bracket">&#91;</span>89<span class="cite-bracket">&#93;</span></a></sup> </p><p>For most of 2014, TSMC saw a continuing increase in revenues due to increased demand, primarily due to chips for smartphone applications. TSMC raised its financial guidance in March 2014 and posted 'unseasonably strong' first-quarter results.<sup id="cite_ref-Q2_2014_forecast_31-1" class="reference"><a href="#cite_note-Q2_2014_forecast-31"><span class="cite-bracket">&#91;</span>31<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-93" class="reference"><a href="#cite_note-93"><span class="cite-bracket">&#91;</span>93<span class="cite-bracket">&#93;</span></a></sup> For Q2 2014, revenues came in at NT$183&#160;billion, with 28&#160;nm technology business growing more than 30 percent from the previous quarter.<sup id="cite_ref-94" class="reference"><a href="#cite_note-94"><span class="cite-bracket">&#91;</span>94<span class="cite-bracket">&#93;</span></a></sup> Lead times for chip orders at TSMC increased due to a tight capacity situation, putting fabless chip companies at risk of not meeting their sales expectations or shipment schedules,<sup id="cite_ref-95" class="reference"><a href="#cite_note-95"><span class="cite-bracket">&#91;</span>95<span class="cite-bracket">&#93;</span></a></sup> and in August 2014 it was reported that TSMC's production capacity for the fourth quarter of 2014 was already almost fully booked, a scenario that had not occurred for many years, which was described as being due to a ripple-effect due to TSMC landing CPU orders from Apple.<sup id="cite_ref-96" class="reference"><a href="#cite_note-96"><span class="cite-bracket">&#91;</span>96<span class="cite-bracket">&#93;</span></a></sup> </p><p>However, monthly sales for 2014 peaked in October, decreasing by 10 percent in November due to cautious inventory adjustment actions taken by some of its customers.<sup id="cite_ref-Taipei_Times_2014-12-11_97-0" class="reference"><a href="#cite_note-Taipei_Times_2014-12-11-97"><span class="cite-bracket">&#91;</span>97<span class="cite-bracket">&#93;</span></a></sup> TSMC's revenue for 2014 saw growth of 28 percent over the previous year, while TSMC forecasted that revenue for 2015 would grow by 15 to 20 percent from 2014, thanks to strong demand for its 20&#160;nm process, new 16&#160;nm FinFET process technology as well as continuing demand for 28&#160;nm, and demand for less advanced chip fabrication in its 200mm fabs.<sup id="cite_ref-Taipei_Times_2014-12-11_97-1" class="reference"><a href="#cite_note-Taipei_Times_2014-12-11-97"><span class="cite-bracket">&#91;</span>97<span class="cite-bracket">&#93;</span></a></sup> </p><p>In 2019, TSMC was ranked fourth in the <a href="/wiki/MEMS" title="MEMS">MEMS</a> field, behind leader Silex Microsystems.<sup id="cite_ref-ft1_98-0" class="reference"><a href="#cite_note-ft1-98"><span class="cite-bracket">&#91;</span>98<span class="cite-bracket">&#93;</span></a></sup> In 2021, TSMC was ranked third in the <a href="/wiki/MEMS" title="MEMS">MEMS</a> field.<sup id="cite_ref-een2_99-0" class="reference"><a href="#cite_note-een2-99"><span class="cite-bracket">&#91;</span>99<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Ownership">Ownership</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=8" title="Edit section: Ownership"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>Around 56 percent of TSMC shares are held by the general public and around 38 percent are held by institutions. The largest shareholders in early 2024 were:<sup id="cite_ref-100" class="reference"><a href="#cite_note-100"><span class="cite-bracket">&#91;</span>100<span class="cite-bracket">&#93;</span></a></sup> </p> <ul><li>National Development Fund, <a href="/wiki/Executive_Yuan" title="Executive Yuan">Executive Yuan</a> (6.38%)</li> <li><a href="/wiki/BlackRock" title="BlackRock">BlackRock</a> (5.09%)</li> <li><a href="/wiki/Capital_Group_Companies" title="Capital Group Companies">Capital Research and Management Company</a> (3.61%)</li> <li><a href="/wiki/Government_of_Singapore_Investment_Corporation" class="mw-redirect" title="Government of Singapore Investment Corporation">Government of Singapore Investment Corporation</a> (3.32%)</li> <li><a href="/wiki/Norges_Bank" title="Norges Bank">Norges Bank</a> (1.59%)</li> <li><a href="/wiki/Fidelity_Investments" title="Fidelity Investments">Fidelity Investments</a> (1.37%)</li> <li>New Labor Pension Scheme (1.28%)</li> <li><a href="/wiki/The_Vanguard_Group" title="The Vanguard Group">The Vanguard Group</a> (1.26%)</li> <li><a href="/wiki/Yuanta_Securities" title="Yuanta Securities">Yuanta Securities Investment</a> (1.02%)</li> <li><a href="/wiki/JPMorgan_Chase" title="JPMorgan Chase">JPMorgan Chase</a> (0.83%)</li> <li><a href="/wiki/Fidelity_International" title="Fidelity International">Fidelity International</a> (0.8%)</li> <li><a href="/wiki/Baillie_Gifford" title="Baillie Gifford">Baillie Gifford</a> (0.76%)</li> <li><a href="/wiki/Fubon_Financial_Holding_Co." title="Fubon Financial Holding Co.">Fubon Life Insurance</a> (0.75%)</li> <li><a href="/wiki/Invesco" title="Invesco">Invesco</a> (0.63%)</li></ul> <div class="mw-heading mw-heading2"><h2 id="Technologies">Technologies</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=9" title="Edit section: Technologies"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-default-size mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:NVIDIA-GTX-1070-FoundersEdition-FL.jpg" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/6/62/NVIDIA-GTX-1070-FoundersEdition-FL.jpg/250px-NVIDIA-GTX-1070-FoundersEdition-FL.jpg" decoding="async" width="220" height="164" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/6/62/NVIDIA-GTX-1070-FoundersEdition-FL.jpg/330px-NVIDIA-GTX-1070-FoundersEdition-FL.jpg 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/6/62/NVIDIA-GTX-1070-FoundersEdition-FL.jpg/500px-NVIDIA-GTX-1070-FoundersEdition-FL.jpg 2x" data-file-width="4700" data-file-height="3500" /></a><figcaption>The <a href="/wiki/Nvidia_GeForce_GTX_1070" class="mw-redirect" title="Nvidia GeForce GTX 1070">Nvidia GeForce GTX 1070</a>, which uses the <a href="/wiki/GP104" class="mw-redirect" title="GP104">GP104</a> die manufactured by TSMC on its 16 nm node</figcaption></figure> <p>TSMC's N7+ is the first commercially available extreme-ultraviolet lithographic process in the semiconductor industry.<sup id="cite_ref-101" class="reference"><a href="#cite_note-101"><span class="cite-bracket">&#91;</span>101<span class="cite-bracket">&#93;</span></a></sup> It uses ultraviolet patterning and enables more acute circuits to be implemented on the silicon. N7+ offers a 15–20 percent higher transistor density and 10 percent reduction in power consumption than previous technology.<sup id="cite_ref-102" class="reference"><a href="#cite_note-102"><span class="cite-bracket">&#91;</span>102<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-103" class="reference"><a href="#cite_note-103"><span class="cite-bracket">&#91;</span>103<span class="cite-bracket">&#93;</span></a></sup> The N7 achieved the fastest ever volume time to market, faster than 10&#160;nm and 16&#160;nm.<sup id="cite_ref-104" class="reference"><a href="#cite_note-104"><span class="cite-bracket">&#91;</span>104<span class="cite-bracket">&#93;</span></a></sup> The N5 iteration doubles transistor density and improves performance by an additional 15 percent.<sup id="cite_ref-105" class="reference"><a href="#cite_note-105"><span class="cite-bracket">&#91;</span>105<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-106" class="reference"><a href="#cite_note-106"><span class="cite-bracket">&#91;</span>106<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="Production_capabilities">Production capabilities</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=10" title="Edit section: Production capabilities"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>On 300&#160;mm wafers, TSMC has silicon lithography on node sizes: </p> <ul><li>0.13 μm (130&#160;nm, options: general-purpose (G), low-power (LP), high-performance low-voltage (LV))</li> <li>90&#160;nm (based upon 80GC from Q4/2006)</li> <li>65&#160;nm (options: general-purpose (GP), low-power (LP), ultra-low power (ULP, LPG))</li> <li>55&#160;nm (options: general-purpose (GP), low-power (LP))</li> <li>40&#160;nm (options: general-purpose (GP), low-power (LP), ultra-low power (ULP))<sup id="cite_ref-107" class="reference"><a href="#cite_note-107"><span class="cite-bracket">&#91;</span>107<span class="cite-bracket">&#93;</span></a></sup></li> <li>28&#160;nm (options: high-performance (HP), high-performance mobile (HPM), high-performance computing (HPC), high-performance low-power (HPL), low-power (LP), high-performance computing Plus (HPC+), ultra-low power (ULP) with HKMG)<sup id="cite_ref-108" class="reference"><a href="#cite_note-108"><span class="cite-bracket">&#91;</span>108<span class="cite-bracket">&#93;</span></a></sup></li> <li>22&#160;nm (options: ultra-low power (ULP), ultra-low leakage (ULL))<sup id="cite_ref-109" class="reference"><a href="#cite_note-109"><span class="cite-bracket">&#91;</span>109<span class="cite-bracket">&#93;</span></a></sup></li> <li>20&#160;nm<sup id="cite_ref-110" class="reference"><a href="#cite_note-110"><span class="cite-bracket">&#91;</span>110<span class="cite-bracket">&#93;</span></a></sup></li> <li>16&#160;nm (options: FinFET (FF), FinFET Plus (FF+), FinFET Compact (FFC))<sup id="cite_ref-111" class="reference"><a href="#cite_note-111"><span class="cite-bracket">&#91;</span>111<span class="cite-bracket">&#93;</span></a></sup></li> <li>12&#160;nm (options: FinFET Compact (FFC), FinFET <a href="/wiki/Nvidia" title="Nvidia">Nvidia</a> (FFN)), enhanced version of 16&#160;nm process<sup id="cite_ref-112" class="reference"><a href="#cite_note-112"><span class="cite-bracket">&#91;</span>112<span class="cite-bracket">&#93;</span></a></sup></li> <li><a href="/wiki/10_nm_process" title="10 nm process">10&#160;nm</a> (options: FinFET (FF))<sup id="cite_ref-113" class="reference"><a href="#cite_note-113"><span class="cite-bracket">&#91;</span>113<span class="cite-bracket">&#93;</span></a></sup></li> <li>7&#160;nm (options: FinFET (FF), FinFET Plus (FF+), FinFET Pro (FFP), high-performance computing (HPC))<sup id="cite_ref-114" class="reference"><a href="#cite_note-114"><span class="cite-bracket">&#91;</span>114<span class="cite-bracket">&#93;</span></a></sup></li> <li>6&#160;nm (options: FinFET (FF), risk production started in Q1 2020, enhanced version of 7&#160;nm process)<sup id="cite_ref-PressRelease20190416_115-0" class="reference"><a href="#cite_note-PressRelease20190416-115"><span class="cite-bracket">&#91;</span>115<span class="cite-bracket">&#93;</span></a></sup></li> <li><a href="/wiki/5_nm_process" title="5 nm process">5&#160;nm</a> (options: FinFET (FF))</li> <li><a href="/w/index.php?title=4_nm_process&amp;action=edit&amp;redlink=1" class="new" title="4 nm process (page does not exist)">4&#160;nm</a> (options: FinFET (FF), risk production started in 2021, enhanced version of 5&#160;nm process)</li> <li><a href="/wiki/3_nm_process" title="3 nm process">3&#160;nm</a> (options: FinFET (FF), volume production started in Q4 2022)<sup id="cite_ref-116" class="reference"><a href="#cite_note-116"><span class="cite-bracket">&#91;</span>116<span class="cite-bracket">&#93;</span></a></sup></li></ul> <p>It also offers "<a href="/wiki/Design_for_manufacturing" class="mw-redirect" title="Design for manufacturing">design for manufacturing</a>" (DFM) customer services.<sup id="cite_ref-117" class="reference"><a href="#cite_note-117"><span class="cite-bracket">&#91;</span>117<span class="cite-bracket">&#93;</span></a></sup> In press publications, these processes will often be referenced, for example, for the mobile variant, simply by 7<i>nmFinFET</i> or even more briefly by 7FF. At the beginning of 2019, TSMC was advertising N7+, N7, and N6 as its leading edge technologies.<sup id="cite_ref-PressRelease20190416_115-1" class="reference"><a href="#cite_note-PressRelease20190416-115"><span class="cite-bracket">&#91;</span>115<span class="cite-bracket">&#93;</span></a></sup> As of June 2020, TSMC is the manufacturer selected for production of <a href="/wiki/Apple_Inc." title="Apple Inc.">Apple</a>'s 5 nanometer <a href="/wiki/ARM_architecture" class="mw-redirect" title="ARM architecture">ARM</a> processors, as "the company plans to eventually transition the entire Mac lineup to its Arm-based processors, including the priciest desktop computers".<sup id="cite_ref-118" class="reference"><a href="#cite_note-118"><span class="cite-bracket">&#91;</span>118<span class="cite-bracket">&#93;</span></a></sup> In July 2020, TSMC signed a 20-year deal with <a href="/wiki/%C3%98rsted_(company)" title="Ørsted (company)">Ørsted</a> to buy the entire production of two offshore wind farms under development off Taiwan's west coast. At the time of its signing, it was the world's largest corporate <a href="/wiki/Green_energy" class="mw-redirect" title="Green energy">green energy</a> order ever made.<sup id="cite_ref-119" class="reference"><a href="#cite_note-119"><span class="cite-bracket">&#91;</span>119<span class="cite-bracket">&#93;</span></a></sup> In July 2021, both Apple and Intel were reported to be testing their proprietary chip designs with TSMC's 3&#160;nm production.<sup id="cite_ref-120" class="reference"><a href="#cite_note-120"><span class="cite-bracket">&#91;</span>120<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="Facilities">Facilities</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=11" title="Edit section: Facilities"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <table class="wikitable sortable zebra mw-collapsible"> <caption>TSMC Facilities </caption> <tbody><tr> <th style="width:5em">Name </th> <th style="width:15em">Location </th> <th style="width:10em">Category </th> <th>Remarks </th></tr> <tr> <td>Fab&#160;2</td> <td><a href="/wiki/Hsinchu" title="Hsinchu">Hsinchu</a><br />(<span class="geo-inline"><style data-mw-deduplicate="TemplateStyles:r1156832818">.mw-parser-output .geo-default,.mw-parser-output .geo-dms,.mw-parser-output .geo-dec{display:inline}.mw-parser-output .geo-nondefault,.mw-parser-output .geo-multi-punct,.mw-parser-output .geo-inline-hidden{display:none}.mw-parser-output .longitude,.mw-parser-output .latitude{white-space:nowrap}</style><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=24_46_25_N_120_59_55_E_region:TW-HSZ_dim:500_type:building&amp;title=TSMC+Fab%26nbsp%3B2"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">24°46′25″N</span> <span class="longitude">120°59′55″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">24.77361°N 120.99861°E</span><span style="display:none">&#xfeff; / <span class="geo">24.77361; 120.99861</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;2</span>)</span></span></span></a></span></span>) </td> <td>150&#160;mm wafer </td> <td> </td></tr> <tr> <td>Fab&#160;3</td> <td><a href="/wiki/Hsinchu" title="Hsinchu">Hsinchu</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=24_46_31_N_120_59_28_E_region:TW-HSZ_dim:500_type:building&amp;title=TSMC+Fab%26nbsp%3B3"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">24°46′31″N</span> <span class="longitude">120°59′28″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">24.77528°N 120.99111°E</span><span style="display:none">&#xfeff; / <span class="geo">24.77528; 120.99111</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;3</span>)</span></span></span></a></span></span>) </td> <td>200&#160;mm wafer </td> <td> </td></tr> <tr> <td>Fab&#160;5</td> <td><a href="/wiki/Hsinchu" title="Hsinchu">Hsinchu</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=24_46_25_N_120_59_55_E_region:TW-HSZ_dim:500_type:building&amp;title=TSMC+Fab%26nbsp%3B5"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">24°46′25″N</span> <span class="longitude">120°59′55″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">24.77361°N 120.99861°E</span><span style="display:none">&#xfeff; / <span class="geo">24.77361; 120.99861</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;5</span>)</span></span></span></a></span></span>) </td> <td>200&#160;mm wafer </td> <td> </td></tr> <tr> <td>Fab&#160;6</td> <td><a href="/wiki/Shanhua_District" title="Shanhua District">Shanhua District</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=23_06_36.2_N_120_16_24.7_E_region:TW-TNN_dim:500_type:building&amp;title=TSMC+Fab%26nbsp%3B6"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">23°06′36.2″N</span> <span class="longitude">120°16′24.7″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">23.110056°N 120.273528°E</span><span style="display:none">&#xfeff; / <span class="geo">23.110056; 120.273528</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;6</span>)</span></span></span></a></span></span>) </td> <td>200&#160;mm wafer </td> <td>phases 1 &amp; 2 operational </td></tr> <tr> <td>Fab&#160;8</td> <td><a href="/wiki/Hsinchu" title="Hsinchu">Hsinchu</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=24_45_44_N_121_01_11_E_region:TW-HSZ_dim:500_type:building&amp;title=TSMC+Fab%26nbsp%3B8"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">24°45′44″N</span> <span class="longitude">121°01′11″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">24.76222°N 121.01972°E</span><span style="display:none">&#xfeff; / <span class="geo">24.76222; 121.01972</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;8</span>)</span></span></span></a></span></span>) </td> <td>200&#160;mm wafer </td> <td> </td></tr> <tr> <td>Fab&#160;10</td> <td><a href="/wiki/Songjiang_District" class="mw-redirect" title="Songjiang District">Songjiang</a>, Shanghai<br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=31_2_7.6_N_121_9_33_E_region:CN-SH_dim:500_type:building&amp;title=TSMC+Fab%26nbsp%3B10"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">31°2′7.6″N</span> <span class="longitude">121°9′33″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">31.035444°N 121.15917°E</span><span style="display:none">&#xfeff; / <span class="geo">31.035444; 121.15917</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;10</span>)</span></span></span></a></span></span>) </td> <td>200&#160;mm wafer </td> <td>TSMC China Company Limited </td></tr> <tr> <td>Fab&#160;11</td> <td><a href="/wiki/Camas,_Washington" title="Camas, Washington">Camas, Washington</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=45_37_7.7_N_122_27_20_W_region:US-WA_dim:500_type:building&amp;title=TSMC+Fab%26nbsp%3B11"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">45°37′7.7″N</span> <span class="longitude">122°27′20″W</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">45.618806°N 122.45556°W</span><span style="display:none">&#xfeff; / <span class="geo">45.618806; -122.45556</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;11</span>)</span></span></span></a></span></span>) </td> <td>200&#160;mm wafer </td> <td>TSMC Washington (formerly known as WaferTech) </td></tr> <tr> <td>Fab&#160;12A</td> <td><a href="/wiki/Hsinchu" title="Hsinchu">Hsinchu</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=24_46_24.9_N_121_0_47.2_E_region:TW-HSZ_dim:500_type:building&amp;title=TSMC+Fab%26nbsp%3B12A"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">24°46′24.9″N</span> <span class="longitude">121°0′47.2″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">24.773583°N 121.013111°E</span><span style="display:none">&#xfeff; / <span class="geo">24.773583; 121.013111</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;12A</span>)</span></span></span></a></span></span>) </td> <td>300&#160;mm wafer </td> <td>phases 1, 2, 4–7 operational, phase 8 under construction, and phase 9 planned <br />TSMC head office </td></tr> <tr> <td>Fab&#160;12B</td> <td><a href="/wiki/Hsinchu" title="Hsinchu">Hsinchu</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=24_46_37_N_120_59_35_E_region:TW-HSZ_dim:500_type:building&amp;title=TSMC+Fab%26nbsp%3B12B"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">24°46′37″N</span> <span class="longitude">120°59′35″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">24.77694°N 120.99306°E</span><span style="display:none">&#xfeff; / <span class="geo">24.77694; 120.99306</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;12B</span>)</span></span></span></a></span></span>) </td> <td>300&#160;mm wafer </td> <td>TSMC R&amp;D Center, phase 3 operational </td></tr> <tr> <td>Fab&#160;14</td> <td><a href="/wiki/Shanhua_District" title="Shanhua District">Shanhua District</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=23_06_46.2_N_120_16_26.9_E_region:TW-TNN_dim:500_type:building&amp;title=TSMC+Fab%26nbsp%3B14"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">23°06′46.2″N</span> <span class="longitude">120°16′26.9″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">23.112833°N 120.274139°E</span><span style="display:none">&#xfeff; / <span class="geo">23.112833; 120.274139</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;14</span>)</span></span></span></a></span></span>) </td> <td>300&#160;mm wafer </td> <td>phases 1–7 operational, phase 8 under construction </td></tr> <tr> <td>Fab&#160;15</td> <td><a href="/wiki/Taichung" title="Taichung">Taichung</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=24_12_41.3_N_120_37_2.4_E_region:TW-TXG_dim:500_type:building&amp;title=TSMC+Fab%26nbsp%3B15"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">24°12′41.3″N</span> <span class="longitude">120°37′2.4″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">24.211472°N 120.617333°E</span><span style="display:none">&#xfeff; / <span class="geo">24.211472; 120.617333</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;15</span>)</span></span></span></a></span></span>) </td> <td>300&#160;mm wafer </td> <td>phases 1–7 operational </td></tr> <tr> <td>Fab&#160;16</td> <td><a href="/wiki/Nanjing" title="Nanjing">Nanjing</a>, Jiangsu<br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=31_58_33_N_118_31_59_E_region:CN-JS_dim:500_type:building&amp;title=TSMC+Fab%26nbsp%3B16"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">31°58′33″N</span> <span class="longitude">118°31′59″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">31.97583°N 118.53306°E</span><span style="display:none">&#xfeff; / <span class="geo">31.97583; 118.53306</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;16</span>)</span></span></span></a></span></span>) </td> <td>300&#160;mm wafer </td> <td>TSMC Nanjing Company Limited </td></tr> <tr> <td>Fab&#160;18</td> <td><a href="/wiki/Anding_District,_Tainan" title="Anding District, Tainan">Anding District, Tainan</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=23_07_05_N_120_15_45_E_region:TW-TNN_dim:250_type:building&amp;title=TSMC+Fab%26nbsp%3B18"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">23°07′05″N</span> <span class="longitude">120°15′45″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">23.11806°N 120.26250°E</span><span style="display:none">&#xfeff; / <span class="geo">23.11806; 120.26250</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;18</span>)</span></span></span></a></span></span>) </td> <td>300&#160;mm wafer </td> <td>phases 1–8 operational </td></tr> <tr> <td>Fab&#160;20</td> <td><a href="/wiki/Hsinchu" title="Hsinchu">Hsinchu</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=24_45_51_N_121_0_10_E_region:TW-HSZ_dim:500_type:building&amp;title=TSMC+Fab%26nbsp%3B20"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">24°45′51″N</span> <span class="longitude">121°0′10″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">24.76417°N 121.00278°E</span><span style="display:none">&#xfeff; / <span class="geo">24.76417; 121.00278</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;20</span>)</span></span></span></a></span></span>) </td> <td>300&#160;mm wafer </td> <td>planned in 4 phases </td></tr> <tr> <td>Fab&#160;21</td> <td><a href="/wiki/Phoenix,_Arizona" title="Phoenix, Arizona">Phoenix, Arizona</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=33_46_30_N_112_09_30_W_region:US-AZ_dim:1000_type:building&amp;title=TSMC+Fab%26nbsp%3B21"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">33°46′30″N</span> <span class="longitude">112°09′30″W</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">33.77500°N 112.15833°W</span><span style="display:none">&#xfeff; / <span class="geo">33.77500; -112.15833</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;21</span>)</span></span></span></a></span></span>) </td> <td>300&#160;mm wafer </td> <td>phase 1 under construction, opening projected for the end of 2024; phase 2 under construction, opening projected for the end of 2026 </td></tr> <tr> <td>Fab&#160;22</td> <td><a href="/wiki/Kaohsiung" title="Kaohsiung">Kaohsiung</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=22_42_35_N_120_18_44_E_region:TW-KHH_dim:500_type:building&amp;title=TSMC+Fab%26nbsp%3B22"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">22°42′35″N</span> <span class="longitude">120°18′44″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">22.70972°N 120.31222°E</span><span style="display:none">&#xfeff; / <span class="geo">22.70972; 120.31222</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;22</span>)</span></span></span></a></span></span>) </td> <td>300&#160;mm wafer </td> <td>phases 1 operational, phase 2 under construction and phase 3-5 planned </td></tr> <tr> <td>JASM<br />(Fab 23)</td> <td><a href="/wiki/Kikuy%C5%8D,_Kumamoto" title="Kikuyō, Kumamoto">Kikuyo</a>, <a href="/wiki/Kumamoto_Prefecture" title="Kumamoto Prefecture">Kumamoto</a>, Japan<br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=32_53_8_N_130_50_33_E_region:JP-43_dim:500_type:building&amp;title=TSMC+Fab%26nbsp%3B23"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">32°53′8″N</span> <span class="longitude">130°50′33″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">32.88556°N 130.84250°E</span><span style="display:none">&#xfeff; / <span class="geo">32.88556; 130.84250</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Fab&#160;23</span>)</span></span></span></a></span></span>) </td> <td>300&#160;mm wafer </td> <td>Japan Advanced Semiconductor Manufacturing, Inc. <p>joint venture founded by TSMC (70%), Sony Semiconductors Solutions (20%), and <a href="/wiki/Denso" title="Denso">Denso</a> (10%)<br />phase 1 operational, phase 2 under construction </p> </td></tr> <tr> <td>SSMC</td> <td>Singapore<br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=1_22_58_N_103_56_5.7_E_region:SG_dim:500_type:building&amp;title=SSMC+%28TSMC-NXP+JV%29"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">1°22′58″N</span> <span class="longitude">103°56′5.7″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">1.38278°N 103.934917°E</span><span style="display:none">&#xfeff; / <span class="geo">1.38278; 103.934917</span></span><span style="display:none">&#xfeff; (<span class="fn org">SSMC (TSMC-NXP JV)</span>)</span></span></span></a></span></span>) </td> <td>200&#160;mm wafer </td> <td><a href="/w/index.php?title=Systems_on_Silicon_Manufacturing_Cooperation&amp;action=edit&amp;redlink=1" class="new" title="Systems on Silicon Manufacturing Cooperation (page does not exist)">Systems on Silicon Manufacturing Cooperation</a>, 1998 founded as joint venture by TSMC, <a href="/wiki/Philips" title="Philips">Philips</a> Semiconductors (now <a href="/wiki/NXP_Semiconductors" title="NXP Semiconductors">NXP Semiconductors</a>), and EDB Investments, Singapore. In November 2006 EDB left the joint venture and TSMC raised their stake in SSMC to 38.8%, NXP to 61.2%. </td></tr> <tr> <td>Advanced Backend Fab&#160;1</td> <td><a href="/wiki/Hsinchu" title="Hsinchu">Hsinchu</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=24_46_39.6_N_120_59_28.9_E_region:TW-HSZ_dim:500_type:building&amp;title=TSMC+Advanced+Backend+Fab%26nbsp%3B1"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">24°46′39.6″N</span> <span class="longitude">120°59′28.9″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">24.777667°N 120.991361°E</span><span style="display:none">&#xfeff; / <span class="geo">24.777667; 120.991361</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Advanced Backend Fab&#160;1</span>)</span></span></span></a></span></span>) </td> <td>Backend </td> <td> </td></tr> <tr> <td>Advanced Backend Fab&#160;2</td> <td><a href="/wiki/Shanhua_District" title="Shanhua District">Shanhua District</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=23_06_46.2_N_120_16_26.9_E_region:TW-TNN_dim:500_type:building&amp;title=TSMC+Advanced+Backend+Fab%26nbsp%3B2"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">23°06′46.2″N</span> <span class="longitude">120°16′26.9″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">23.112833°N 120.274139°E</span><span style="display:none">&#xfeff; / <span class="geo">23.112833; 120.274139</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Advanced Backend Fab&#160;2</span>)</span></span></span></a></span></span>) </td> <td>Backend </td> <td>AP2B and AP2C operational </td></tr> <tr> <td>Advanced Backend Fab&#160;3</td> <td><a href="/wiki/Longtan_District,_Taoyuan" title="Longtan District, Taoyuan">Longtan District, Taoyuan</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=24.883541_N_121.186478_E_region:TW-TAO_dim:250_type:building&amp;title=TSMC+Advanced+Backend+Fab%26nbsp%3B3"><span class="geo-nondefault"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">24°53′01″N</span> <span class="longitude">121°11′11″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-default"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">24.883541°N 121.186478°E</span><span style="display:none">&#xfeff; / <span class="geo">24.883541; 121.186478</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Advanced Backend Fab&#160;3</span>)</span></span></span></a></span></span>) </td> <td>Backend </td> <td> </td></tr> <tr> <td>Advanced Backend Fab&#160;5</td> <td><a href="/wiki/Taichung" title="Taichung">Taichung</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=24_12_52.9_N_120_37_05.1_E_region:TW-TXG_dim:500_type:building&amp;title=TSMC+Advanced+Backend+Fab%26nbsp%3B5"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">24°12′52.9″N</span> <span class="longitude">120°37′05.1″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">24.214694°N 120.618083°E</span><span style="display:none">&#xfeff; / <span class="geo">24.214694; 120.618083</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Advanced Backend Fab&#160;5</span>)</span></span></span></a></span></span>) </td> <td>Backend </td> <td> </td></tr> <tr> <td>Advanced Backend Fab&#160;6</td> <td><a href="/wiki/Zhunan" title="Zhunan">Zhunan</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=24_42_25_N_120_54_26_E_region:TW-HSZ_dim:500_type:building&amp;title=TSMC+Advanced+Backend+Fab%26nbsp%3B6"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">24°42′25″N</span> <span class="longitude">120°54′26″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">24.70694°N 120.90722°E</span><span style="display:none">&#xfeff; / <span class="geo">24.70694; 120.90722</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Advanced Backend Fab&#160;6</span>)</span></span></span></a></span></span>) </td> <td>Backend </td> <td>planned in 3 phases, AP6A operational, phases B &amp; C under construction </td></tr> <tr> <td>Advanced Backend Fab&#160;7</td> <td><a href="/wiki/Taibao" title="Taibao">Taibo City, Chiayi County</a><br />(<span class="geo-inline"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1156832818" /><span class="plainlinks nourlexpansion"><a class="external text" href="https://geohack.toolforge.org/geohack.php?pagename=TSMC&amp;params=23_28_27.1_N_120_18_05.9_E_region:TW-HSZ_dim:500_type:building&amp;title=TSMC+Advanced+Backend+Fab%26nbsp%3B7"><span class="geo-default"><span class="geo-dms" title="Maps, aerial photos, and other data for this location"><span class="latitude">23°28′27.1″N</span> <span class="longitude">120°18′05.9″E</span></span></span><span class="geo-multi-punct">&#xfeff; / &#xfeff;</span><span class="geo-nondefault"><span class="vcard"><span class="geo-dec" title="Maps, aerial photos, and other data for this location">23.474194°N 120.301639°E</span><span style="display:none">&#xfeff; / <span class="geo">23.474194; 120.301639</span></span><span style="display:none">&#xfeff; (<span class="fn org">TSMC Advanced Backend Fab&#160;7</span>)</span></span></span></a></span></span>) </td> <td>Backend </td> <td>planned in 2 phases </td></tr></tbody></table> <div class="mw-heading mw-heading3"><h3 id="Central_Taiwan_Science_Park">Central Taiwan Science Park</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=12" title="Edit section: Central Taiwan Science Park"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>The investment of US$9.4&#160;billion to build its third 300mm wafer fabrication facility in <a href="/wiki/Central_Taiwan_Science_Park" title="Central Taiwan Science Park">Central Taiwan Science Park</a> (Fab 15) was originally announced in 2010.<sup id="cite_ref-121" class="reference"><a href="#cite_note-121"><span class="cite-bracket">&#91;</span>121<span class="cite-bracket">&#93;</span></a></sup> The facility was expected to manufacture over 100,000 wafers a month and generate US$5&#160;billion per year of revenue.<sup id="cite_ref-122" class="reference"><a href="#cite_note-122"><span class="cite-bracket">&#91;</span>122<span class="cite-bracket">&#93;</span></a></sup> TSMC has continued to expand advanced 28&#160;nm manufacturing capacity at Fab 15.<sup id="cite_ref-123" class="reference"><a href="#cite_note-123"><span class="cite-bracket">&#91;</span>123<span class="cite-bracket">&#93;</span></a></sup> On 12 January 2011, TSMC announced the acquisition of land from <a href="/wiki/Powerchip" title="Powerchip">Powerchip Semiconductor</a> for NT$2.9&#160;billion (US$96&#160;million) to build two additional 300mm fabs (Fab 12B) to cope with increasing global demand.<sup id="cite_ref-124" class="reference"><a href="#cite_note-124"><span class="cite-bracket">&#91;</span>124<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Arizona">Arizona</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=13" title="Edit section: Arizona"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-default-size" typeof="mw:File/Thumb"><a href="/wiki/File:231105-1_TSMC_Fab_21_construction.jpg" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/9/94/231105-1_TSMC_Fab_21_construction.jpg/220px-231105-1_TSMC_Fab_21_construction.jpg" decoding="async" width="220" height="165" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/9/94/231105-1_TSMC_Fab_21_construction.jpg/330px-231105-1_TSMC_Fab_21_construction.jpg 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/9/94/231105-1_TSMC_Fab_21_construction.jpg/440px-231105-1_TSMC_Fab_21_construction.jpg 2x" data-file-width="8064" data-file-height="6048" /></a><figcaption>Fab 21 under construction in Phoenix, Arizona in November 2023</figcaption></figure> <p>In 2020, TSMC announced a planned fab in <a href="/wiki/Phoenix,_Arizona" title="Phoenix, Arizona">Phoenix</a>, Arizona, intended to begin production by 2024 at a rate of 20,000 wafers per month. As of 2020, TSMC announced that it would bring its newest 5&#160;nm process to the Arizona facility, a significant break from its prior practice of limiting US fabs to older technologies. The Arizona plant was estimated to not be fully operational until 2024, when the 5&#160;nm process is projected to be replaced by TSMC's <a href="/wiki/3_nm_process" title="3 nm process">3 nm process</a> as the latest technology.<sup id="cite_ref-WSJ20200515_125-0" class="reference"><a href="#cite_note-WSJ20200515-125"><span class="cite-bracket">&#91;</span>125<span class="cite-bracket">&#93;</span></a></sup> At launch it will be the most advanced fab in the United States.<sup id="cite_ref-anandtech.com_126-0" class="reference"><a href="#cite_note-anandtech.com-126"><span class="cite-bracket">&#91;</span>126<span class="cite-bracket">&#93;</span></a></sup> TSMC plans to spend $12&#160;billion on the project over eight years, beginning in 2021.<sup id="cite_ref-WSJ20200515_125-1" class="reference"><a href="#cite_note-WSJ20200515-125"><span class="cite-bracket">&#91;</span>125<span class="cite-bracket">&#93;</span></a></sup> TSMC claimed the plant will create 1,900 full-time jobs.<sup id="cite_ref-127" class="reference"><a href="#cite_note-127"><span class="cite-bracket">&#91;</span>127<span class="cite-bracket">&#93;</span></a></sup> </p><p>In December 2022, TSMC announced its plans to triple its investment in the Arizona plants in response to the growing tensions between the US and China and the <a href="/wiki/2021%E2%80%932023_global_supply_chain_crisis" title="2021–2023 global supply chain crisis">supply chain disruption</a> that has led to <a href="/wiki/2020%E2%80%93present_global_chip_shortage" class="mw-redirect" title="2020–present global chip shortage">chip shortages</a>.<sup id="cite_ref-128" class="reference"><a href="#cite_note-128"><span class="cite-bracket">&#91;</span>128<span class="cite-bracket">&#93;</span></a></sup> In that same month, TSMC stated that they were running into major cost issues, because the cost of construction of buildings and facilities in the US is four to five times what an identical plant would cost in Taiwan, (due to higher costs of labor, red tape, and training), as well as difficulty finding qualified personnel (for which it has hired US workers and sent them for training in Taiwan for 12–18 months.) These additional production costs will increase the cost of TSMC's chips made in the US to at least 50 percent more than the cost of chips made in Taiwan.<sup id="cite_ref-WSJ_2022-12-05_129-0" class="reference"><a href="#cite_note-WSJ_2022-12-05-129"><span class="cite-bracket">&#91;</span>129<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-Noah_SS_130-0" class="reference"><a href="#cite_note-Noah_SS-130"><span class="cite-bracket">&#91;</span>130<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-131" class="reference"><a href="#cite_note-131"><span class="cite-bracket">&#91;</span>131<span class="cite-bracket">&#93;</span></a></sup> In July 2023 TSMC warned that US talent was insufficient, so Taiwanese workers will need to be brought in for a limited time, and that the chip factory will not be operational until 2025.<sup id="cite_ref-132" class="reference"><a href="#cite_note-132"><span class="cite-bracket">&#91;</span>132<span class="cite-bracket">&#93;</span></a></sup> In September 2023, an analyst said the chips will still need to be sent back to Taiwan for packaging.<sup id="cite_ref-133" class="reference"><a href="#cite_note-133"><span class="cite-bracket">&#91;</span>133<span class="cite-bracket">&#93;</span></a></sup> In January 2024, TSMC chairman Liu again warned that Arizona lacked workers with the specialized skills to hire and that TSMC's second Arizona plant likely will not start volume production of advanced chips until 2027 or 2028.<sup id="cite_ref-134" class="reference"><a href="#cite_note-134"><span class="cite-bracket">&#91;</span>134<span class="cite-bracket">&#93;</span></a></sup> </p><p>In April 2024, the US Commerce Department agreed to provide $6.6&#160;billion in direct funding and up to $5&#160;billion in loans to TSMC for the purposes of creating semiconductor manufacturing facilities in Arizona. This action falls under the <a href="/wiki/CHIPS_and_Science_Act" title="CHIPS and Science Act">CHIPS and Science Act</a> and is intended to boost domestic chip production for the US.<sup id="cite_ref-135" class="reference"><a href="#cite_note-135"><span class="cite-bracket">&#91;</span>135<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading4"><h4 id="Halo_Vista">Halo Vista</h4><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=14" title="Edit section: Halo Vista"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-default-size" typeof="mw:File/Thumb"><a href="/wiki/File:Halo_Vista.webp" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/5/54/Halo_Vista.webp/220px-Halo_Vista.webp.png" decoding="async" width="220" height="110" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/5/54/Halo_Vista.webp/330px-Halo_Vista.webp.png 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/5/54/Halo_Vista.webp/440px-Halo_Vista.webp.png 2x" data-file-width="9237" data-file-height="4634" /></a><figcaption>Halo Vista development area</figcaption></figure> <p>In October 2024 it was revealed that development around the TSMC plants would be called Halo Vista, that will develop 3,500 <a href="/wiki/Acre" title="Acre">acres</a> of property from restaurants, hotels, housing, and other <a href="/wiki/Mixed-use_development" title="Mixed-use development">Mixed-use development</a>. There will also be a Sonoran Oasis Research and Technology Park that will also help set up the supply chain and foster innovative development, much like how <a href="/wiki/Hsinchu_Science_Park" title="Hsinchu Science Park">Hsinchu Science Park</a> is to TSMC in <a href="/wiki/Taiwan" title="Taiwan">Taiwan</a>. As many as 6 fabrication plants could be built there worth a total of around $120 billion.<sup id="cite_ref-136" class="reference"><a href="#cite_note-136"><span class="cite-bracket">&#91;</span>136<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Washington">Washington</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=15" title="Edit section: Washington"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>TSMC Washington is a subsidiary of TSMC based in <a href="/wiki/Camas,_Washington" title="Camas, Washington">Camas, Washington</a>, 32&#160;km (20&#160;mi) outside <a href="/wiki/Portland,_Oregon" title="Portland, Oregon">Portland</a>, Oregon. The 105&#160;ha (260 acres) campus contains a 9.3&#160;ha (23 acres) complex consisting of a 12,000&#160;m<sup>2</sup> (130,000&#160;sq&#160;ft) 200mm wafer fabrication plant.<sup id="cite_ref-137" class="reference"><a href="#cite_note-137"><span class="cite-bracket">&#91;</span>137<span class="cite-bracket">&#93;</span></a></sup> TSMC Washington (originally known as WaferTech) was established in June 1996 as a joint venture between TSMC, <a href="/wiki/Altera" title="Altera">Altera</a>, <a href="/wiki/Analog_Devices" title="Analog Devices">Analog Devices</a>, and <a href="/w/index.php?title=Integrated_Silicon_Solution_Inc.&amp;action=edit&amp;redlink=1" class="new" title="Integrated Silicon Solution Inc. (page does not exist)">ISSI</a>. The companies along with minor individual investors placed US$1.2&#160;billion into this venture, which was at the time the single largest startup investment in the state of Washington. The facility started production in July 1998 with its first product being a 0.35 micrometer part for Altera.<sup class="noprint Inline-Template Template-Fact" style="white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:Citation_needed" title="Wikipedia:Citation needed"><span title="This claim needs references to reliable sources. (May 2014)">citation needed</span></a></i>&#93;</sup> TSMC bought out the stake of the other partners in 2000, turning the company into a fully-owned subsidiary of TSMC.<sup id="cite_ref-138" class="reference"><a href="#cite_note-138"><span class="cite-bracket">&#91;</span>138<span class="cite-bracket">&#93;</span></a></sup> As of 2024, the facility employs 1100 workers and supports node sizes of 0.35, 0.30, 0.25, 0.22, 0.18, and 0.16 micrometers, with an emphasis on embedded flash process technology.<sup id="cite_ref-139" class="reference"><a href="#cite_note-139"><span class="cite-bracket">&#91;</span>139<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Japan">Japan</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=16" title="Edit section: Japan"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-default-size" typeof="mw:File/Thumb"><a href="/wiki/File:JASM_Kumamoto_2024_03.jpg" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/0/06/JASM_Kumamoto_2024_03.jpg/250px-JASM_Kumamoto_2024_03.jpg" decoding="async" width="220" height="165" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/0/06/JASM_Kumamoto_2024_03.jpg/330px-JASM_Kumamoto_2024_03.jpg 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/0/06/JASM_Kumamoto_2024_03.jpg/500px-JASM_Kumamoto_2024_03.jpg 2x" data-file-width="4032" data-file-height="3024" /></a><figcaption>Fab23 in March 2024</figcaption></figure> <p>In November 2021, TSMC and <a href="/wiki/Sony" title="Sony">Sony</a> announced that TSMC would be establishing a new subsidiary named <a href="/wiki/Japan_Advanced_Semiconductor_Manufacturing" title="Japan Advanced Semiconductor Manufacturing">Japan Advanced Semiconductor Manufacturing</a> (JASM) in <a href="/wiki/Kumamoto" title="Kumamoto">Kumamoto</a>, Japan. <a href="/wiki/Denso" title="Denso">Denso</a> and <a href="/wiki/Toyota" title="Toyota">Toyota</a> have also invested in the company and are minor shareholders.<sup id="cite_ref-140" class="reference"><a href="#cite_note-140"><span class="cite-bracket">&#91;</span>140<span class="cite-bracket">&#93;</span></a></sup> </p><p>The first factory (Fab 23) in <a href="/wiki/Kikuy%C5%8D,_Kumamoto" title="Kikuyō, Kumamoto">Kikuyo</a>, Kumamoto, began commercial operations in December 2024 and produces 12-, 22-, and 28-nanometer processes. Fab 23 cost US$8.6 billion to build, with 476 billion yen subsidised by the <a href="/wiki/Ministry_of_Economy,_Trade_and_Industry" title="Ministry of Economy, Trade and Industry">Ministry of Economy, Trade and Industry</a> (METI).<sup id="cite_ref-xTECH20240304_141-0" class="reference"><a href="#cite_note-xTECH20240304-141"><span class="cite-bracket">&#91;</span>141<span class="cite-bracket">&#93;</span></a></sup> </p><p>The second factory, currently under construction adjacent to Fab 23 as of January 2025, will produce 6-nanometer and 12-nanometer processes. This factory is estimated to cost US$13.9 billion, with 732 billion yen funded by the METI.<sup id="cite_ref-xTECH20240304_141-1" class="reference"><a href="#cite_note-xTECH20240304-141"><span class="cite-bracket">&#91;</span>141<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Germany">Germany</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=17" title="Edit section: Germany"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>In August 2023, TSMC committed €3.5&#160;billion to a €10+&#160;billion factory in <a href="/wiki/Dresden" title="Dresden">Dresden</a>, Germany. The plant is subsidised with €5&#160;billion from the German government. Three European companies (<a href="/wiki/Robert_Bosch_GmbH" class="mw-redirect" title="Robert Bosch GmbH">Robert Bosch GmbH</a>, <a href="/wiki/Infineon_Technologies" title="Infineon Technologies">Infineon Technologies</a>, and <a href="/wiki/NXP_Semiconductors" title="NXP Semiconductors">NXP Semiconductors</a>) invested in the plant in return for a 10 percent share each. The resulting joint venture with TSMC is named European Semiconductor Manufacturing Company (ESMC).<sup id="cite_ref-142" class="reference"><a href="#cite_note-142"><span class="cite-bracket">&#91;</span>142<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-143" class="reference"><a href="#cite_note-143"><span class="cite-bracket">&#91;</span>143<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-144" class="reference"><a href="#cite_note-144"><span class="cite-bracket">&#91;</span>144<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-145" class="reference"><a href="#cite_note-145"><span class="cite-bracket">&#91;</span>145<span class="cite-bracket">&#93;</span></a></sup> The factory is planned to be fully operational in 2029 with a monthly capacity of 40,000 12-inch wafers.<sup id="cite_ref-146" class="reference"><a href="#cite_note-146"><span class="cite-bracket">&#91;</span>146<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="See_also">See also</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=18" title="Edit section: See also"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <style data-mw-deduplicate="TemplateStyles:r1266661725">.mw-parser-output .portalbox{padding:0;margin:0.5em 0;display:table;box-sizing:border-box;max-width:175px;list-style:none}.mw-parser-output .portalborder{border:1px solid var(--border-color-base,#a2a9b1);padding:0.1em;background:var(--background-color-neutral-subtle,#f8f9fa)}.mw-parser-output .portalbox-entry{display:table-row;font-size:85%;line-height:110%;height:1.9em;font-style:italic;font-weight:bold}.mw-parser-output .portalbox-image{display:table-cell;padding:0.2em;vertical-align:middle;text-align:center}.mw-parser-output .portalbox-link{display:table-cell;padding:0.2em 0.2em 0.2em 0.3em;vertical-align:middle}@media(min-width:720px){.mw-parser-output .portalleft{margin:0.5em 1em 0.5em 0}.mw-parser-output .portalright{clear:right;float:right;margin:0.5em 0 0.5em 1em}}</style><ul role="navigation" aria-label="Portals" class="noprint portalbox portalborder portalright"> <li class="portalbox-entry"><span class="portalbox-image"><span class="noviewer" typeof="mw:File"><a href="/wiki/File:Noun-manufacturing-7481278.svg" class="mw-file-description"><img alt="icon" src="//upload.wikimedia.org/wikipedia/commons/thumb/c/c1/Noun-manufacturing-7481278.svg/40px-Noun-manufacturing-7481278.svg.png" decoding="async" width="27" height="28" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/c/c1/Noun-manufacturing-7481278.svg/60px-Noun-manufacturing-7481278.svg.png 2x" data-file-width="101" data-file-height="106" /></a></span></span><span class="portalbox-link"><a href="/wiki/Portal:Manufacturing" title="Portal:Manufacturing">Manufacturing portal</a></span></li></ul> <style data-mw-deduplicate="TemplateStyles:r1184024115">.mw-parser-output .div-col{margin-top:0.3em;column-width:30em}.mw-parser-output .div-col-small{font-size:90%}.mw-parser-output .div-col-rules{column-rule:1px solid #aaa}.mw-parser-output .div-col dl,.mw-parser-output .div-col ol,.mw-parser-output .div-col ul{margin-top:0}.mw-parser-output .div-col li,.mw-parser-output .div-col dd{page-break-inside:avoid;break-inside:avoid-column}</style><div class="div-col" style="column-width: 22em;"> <ul><li><a href="/wiki/List_of_companies_of_Taiwan" title="List of companies of Taiwan">List of companies of Taiwan</a></li> <li><a href="/wiki/List_of_semiconductor_fabrication_plants" title="List of semiconductor fabrication plants">List of semiconductor fabrication plants</a></li> <li><a href="/wiki/Moore%27s_law" title="Moore&#39;s law">Moore's law</a></li> <li><a href="/wiki/Quantum_tunnelling" title="Quantum tunnelling">Quantum tunnelling</a></li> <li><a href="/wiki/Semiconductor_device_fabrication" title="Semiconductor device fabrication">Semiconductor device fabrication</a></li> <li><a href="/wiki/Semiconductor_industry_in_Taiwan" title="Semiconductor industry in Taiwan">Semiconductor industry in Taiwan</a></li> <li><a href="/wiki/Very_Large_Scale_Integration" class="mw-redirect" title="Very Large Scale Integration">Very Large Scale Integration</a></li></ul> </div> <div class="mw-heading mw-heading2"><h2 id="References">References</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=19" title="Edit section: References"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <style data-mw-deduplicate="TemplateStyles:r1239543626">.mw-parser-output .reflist{margin-bottom:0.5em;list-style-type:decimal}@media screen{.mw-parser-output .reflist{font-size:90%}}.mw-parser-output .reflist .references{font-size:100%;margin-bottom:0;list-style-type:inherit}.mw-parser-output .reflist-columns-2{column-width:30em}.mw-parser-output .reflist-columns-3{column-width:25em}.mw-parser-output .reflist-columns{margin-top:0.3em}.mw-parser-output .reflist-columns ol{margin-top:0}.mw-parser-output .reflist-columns li{page-break-inside:avoid;break-inside:avoid-column}.mw-parser-output .reflist-upper-alpha{list-style-type:upper-alpha}.mw-parser-output .reflist-upper-roman{list-style-type:upper-roman}.mw-parser-output .reflist-lower-alpha{list-style-type:lower-alpha}.mw-parser-output .reflist-lower-greek{list-style-type:lower-greek}.mw-parser-output .reflist-lower-roman{list-style-type:lower-roman}</style><div class="reflist"> <div class="mw-references-wrap mw-references-columns"><ol class="references"> <li id="cite_note-1"><span class="mw-cite-backlink"><b><a href="#cite_ref-1">^</a></b></span> <span class="reference-text"><style data-mw-deduplicate="TemplateStyles:r1238218222">.mw-parser-output cite.citation{font-style:inherit;word-wrap:break-word}.mw-parser-output .citation q{quotes:"\"""\"""'""'"}.mw-parser-output .citation:target{background-color:rgba(0,127,255,0.133)}.mw-parser-output .id-lock-free.id-lock-free a{background:url("//upload.wikimedia.org/wikipedia/commons/6/65/Lock-green.svg")right 0.1em center/9px no-repeat}.mw-parser-output .id-lock-limited.id-lock-limited a,.mw-parser-output .id-lock-registration.id-lock-registration a{background:url("//upload.wikimedia.org/wikipedia/commons/d/d6/Lock-gray-alt-2.svg")right 0.1em center/9px no-repeat}.mw-parser-output .id-lock-subscription.id-lock-subscription a{background:url("//upload.wikimedia.org/wikipedia/commons/a/aa/Lock-red-alt-2.svg")right 0.1em center/9px no-repeat}.mw-parser-output .cs1-ws-icon a{background:url("//upload.wikimedia.org/wikipedia/commons/4/4c/Wikisource-logo.svg")right 0.1em center/12px no-repeat}body:not(.skin-timeless):not(.skin-minerva) .mw-parser-output .id-lock-free a,body:not(.skin-timeless):not(.skin-minerva) .mw-parser-output .id-lock-limited a,body:not(.skin-timeless):not(.skin-minerva) .mw-parser-output .id-lock-registration a,body:not(.skin-timeless):not(.skin-minerva) .mw-parser-output .id-lock-subscription a,body:not(.skin-timeless):not(.skin-minerva) .mw-parser-output .cs1-ws-icon a{background-size:contain;padding:0 1em 0 0}.mw-parser-output .cs1-code{color:inherit;background:inherit;border:none;padding:inherit}.mw-parser-output .cs1-hidden-error{display:none;color:var(--color-error,#d33)}.mw-parser-output .cs1-visible-error{color:var(--color-error,#d33)}.mw-parser-output .cs1-maint{display:none;color:#085;margin-left:0.3em}.mw-parser-output .cs1-kern-left{padding-left:0.2em}.mw-parser-output .cs1-kern-right{padding-right:0.2em}.mw-parser-output .citation .mw-selflink{font-weight:inherit}@media screen{.mw-parser-output .cs1-format{font-size:95%}html.skin-theme-clientpref-night .mw-parser-output .cs1-maint{color:#18911f}}@media screen and (prefers-color-scheme:dark){html.skin-theme-clientpref-os .mw-parser-output .cs1-maint{color:#18911f}}</style><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://pr.tsmc.com/english/news/3148">"TSMC Shareholders Elect Board of Directors; Board of Directors Unanimously Elects Dr. C.C. Wei as Chairman and CEO"</a>. TSMC. 4 June 2024. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20240607021200/https://pr.tsmc.com/english/news/3148">Archived</a> from the original on 7 June 2024<span class="reference-accessdate">. Retrieved <span class="nowrap">7 June</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=TSMC+Shareholders+Elect+Board+of+Directors%3B+Board+of+Directors+Unanimously+Elects+Dr.+C.C.+Wei+as+Chairman+and+CEO&amp;rft.pub=TSMC&amp;rft.date=2024-06-04&amp;rft_id=https%3A%2F%2Fpr.tsmc.com%2Fenglish%2Fnews%2F3148&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-2"><span class="mw-cite-backlink"><b><a href="#cite_ref-2">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.forbes.com/companies/taiwan-semiconductor/">"Taiwan Semiconductor Manufacturing"</a>. <i>Forbes</i><span class="reference-accessdate">. Retrieved <span class="nowrap">26 January</span> 2025</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Forbes&amp;rft.atitle=Taiwan+Semiconductor+Manufacturing&amp;rft_id=https%3A%2F%2Fwww.forbes.com%2Fcompanies%2Ftaiwan-semiconductor%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-3"><span class="mw-cite-backlink"><b><a href="#cite_ref-3">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.tsmc.com/">"Taiwan Semiconductor Manufacturing Company"</a>. TSMC. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20171106011113/http://www.tsmc.com/">Archived</a> from the original on 6 November 2017<span class="reference-accessdate">. Retrieved <span class="nowrap">19 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Taiwan+Semiconductor+Manufacturing+Company&amp;rft.pub=TSMC&amp;rft_id=http%3A%2F%2Fwww.tsmc.com%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-AR-4"><span class="mw-cite-backlink"><b><a href="#cite_ref-AR_4-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.sec.gov/ix?doc=/Archives/edgar/data/0001046179/000119312524099840/d592628d20f.htm">"TSMC Ltd 2023 Annual Report (Form 20-F)"</a>. <i>SEC.gov</i>. <a href="/wiki/U.S._Securities_and_Exchange_Commission" title="U.S. Securities and Exchange Commission">U.S. Securities and Exchange Commission</a>. 18 April 2024. <a rel="nofollow" class="external text" href="https://archive.today/20241026165406/https://www.sec.gov/ix?doc=/Archives/edgar/data/0001046179/000119312524099840/d592628d20f.htm">Archived</a> from the original on 26 October 2024<span class="reference-accessdate">. Retrieved <span class="nowrap">27 October</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=SEC.gov&amp;rft.atitle=TSMC+Ltd+2023+Annual+Report+%28Form+20-F%29&amp;rft.date=2024-04-18&amp;rft_id=https%3A%2F%2Fwww.sec.gov%2Fix%3Fdoc%3D%2FArchives%2Fedgar%2Fdata%2F0001046179%2F000119312524099840%2Fd592628d20f.htm&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-5"><span class="mw-cite-backlink"><b><a href="#cite_ref-5">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFZacks_Equity_Research2021" class="citation web cs1">Zacks Equity Research (13 April 2021). <a rel="nofollow" class="external text" href="https://finance.yahoo.com/news/whats-store-taiwan-semiconductors-tsm-113811337.html">"What's in Store for Taiwan Semiconductor's (TSM) Q1 Earnings?"</a>. <i>Yahoo! Finance</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20221014141202/https://finance.yahoo.com/news/whats-store-taiwan-semiconductors-tsm-113811337.html">Archived</a> from the original on 14 October 2022<span class="reference-accessdate">. Retrieved <span class="nowrap">17 April</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Yahoo%21+Finance&amp;rft.atitle=What%27s+in+Store+for+Taiwan+Semiconductor%27s+%28TSM%29+Q1+Earnings%3F&amp;rft.date=2021-04-13&amp;rft.au=Zacks+Equity+Research&amp;rft_id=https%3A%2F%2Ffinance.yahoo.com%2Fnews%2Fwhats-store-taiwan-semiconductors-tsm-113811337.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-6"><span class="mw-cite-backlink"><b><a href="#cite_ref-6">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFThe_Value_Portfolio2021" class="citation web cs1">The Value Portfolio (16 April 2021). <a rel="nofollow" class="external text" href="https://seekingalpha.com/article/4419429-taiwan-semiconductor-is-great-company-too-high-of-valuation">"Taiwan Semiconductor Stock: Great Company, But Valuation Too High (NYSE:TSM)"</a>. <i>SeekingAlpha</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20211216003614/https://seekingalpha.com/article/4419429-taiwan-semiconductor-is-great-company-too-high-of-valuation">Archived</a> from the original on 16 December 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">17 April</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=SeekingAlpha&amp;rft.atitle=Taiwan+Semiconductor+Stock%3A+Great+Company%2C+But+Valuation+Too+High+%28NYSE%3ATSM%29&amp;rft.date=2021-04-16&amp;rft.au=The+Value+Portfolio&amp;rft_id=https%3A%2F%2Fseekingalpha.com%2Farticle%2F4419429-taiwan-semiconductor-is-great-company-too-high-of-valuation&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-7"><span class="mw-cite-backlink"><b><a href="#cite_ref-7">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFChiang2023" class="citation news cs1">Chiang, Sheila (20 July 2023). <a rel="nofollow" class="external text" href="https://www.cnbc.com/2023/07/20/tsmc-q2-earnings.html">"TSMC reports first profit drop in 4 years as electronics demand slump continues"</a>. CNBC. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20231112003522/https://www.cnbc.com/2023/07/20/tsmc-q2-earnings.html">Archived</a> from the original on 12 November 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">22 October</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=TSMC+reports+first+profit+drop+in+4+years+as+electronics+demand+slump+continues&amp;rft.date=2023-07-20&amp;rft.aulast=Chiang&amp;rft.aufirst=Sheila&amp;rft_id=https%3A%2F%2Fwww.cnbc.com%2F2023%2F07%2F20%2Ftsmc-q2-earnings.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-8"><span class="mw-cite-backlink"><b><a href="#cite_ref-8">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="http://www.icinsights.com/news/bulletins/advanced-technology-key-to-strong-foundry-revenue-per-wafer/">"Advanced Technology Key to Strong Foundry Revenue per Wafer"</a>. <i>IC Insights</i>. 12 October 2018. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20190714212722/http://www.icinsights.com/news/bulletins/advanced-technology-key-to-strong-foundry-revenue-per-wafer/">Archived</a> from the original on 14 July 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">14 July</span> 2019</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=IC+Insights&amp;rft.atitle=Advanced+Technology+Key+to+Strong+Foundry+Revenue+per+Wafer&amp;rft.date=2018-10-12&amp;rft_id=http%3A%2F%2Fwww.icinsights.com%2Fnews%2Fbulletins%2Fadvanced-technology-key-to-strong-foundry-revenue-per-wafer%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-9"><span class="mw-cite-backlink"><b><a href="#cite_ref-9">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://www.straitstimes.com/business/companies-markets/taiwan-chipmaker-tsmcs-earnings-soar-91">"Taiwan chipmaker TSMC's earnings soar 91%, Companies &amp; Markets News &amp; Top Stories"</a>. <i>The Straits Times</i>. Bloomberg. 17 April 2020. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200502143057/https://www.straitstimes.com/business/companies-markets/taiwan-chipmaker-tsmcs-earnings-soar-91">Archived</a> from the original on 2 May 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">4 May</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=The+Straits+Times&amp;rft.atitle=Taiwan+chipmaker+TSMC%27s+earnings+soar+91%25%2C+Companies+%26+Markets+News+%26+Top+Stories&amp;rft.date=2020-04-17&amp;rft_id=https%3A%2F%2Fwww.straitstimes.com%2Fbusiness%2Fcompanies-markets%2Ftaiwan-chipmaker-tsmcs-earnings-soar-91&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-10"><span class="mw-cite-backlink"><b><a href="#cite_ref-10">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFStrong2020" class="citation news cs1">Strong, Matthew (24 March 2020). <a rel="nofollow" class="external text" href="https://www.taiwannews.com.tw/en/news/3903344">"Taiwan chip giant TSMC wants 30,000 employees to work from home"</a>. <i>Taiwan News</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200502100528/https://www.taiwannews.com.tw/en/news/3903344">Archived</a> from the original on 2 May 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">4 May</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Taiwan+News&amp;rft.atitle=Taiwan+chip+giant+TSMC+wants+30%2C000+employees+to+work+from+home&amp;rft.date=2020-03-24&amp;rft.aulast=Strong&amp;rft.aufirst=Matthew&amp;rft_id=https%3A%2F%2Fwww.taiwannews.com.tw%2Fen%2Fnews%2F3903344&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-11"><span class="mw-cite-backlink"><b><a href="#cite_ref-11">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://asia.nikkei.com/Companies/Taiwan-Semiconductor-Manufacturing-Co.-Ltd">"Taiwan Semiconductor Manufacturing Company Limited (2330)"</a>. <i><a href="/wiki/Nikkei_Asia" title="Nikkei Asia">Nikkei Asia</a></i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20230513143426/https://asia.nikkei.com/Companies/Taiwan-Semiconductor-Manufacturing-Co.-Ltd">Archived</a> from the original on 13 May 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">14 May</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Nikkei+Asia&amp;rft.atitle=Taiwan+Semiconductor+Manufacturing+Company+Limited+%282330%29&amp;rft_id=https%3A%2F%2Fasia.nikkei.com%2FCompanies%2FTaiwan-Semiconductor-Manufacturing-Co.-Ltd&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-12"><span class="mw-cite-backlink"><b><a href="#cite_ref-12">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20220326110547/https://www.taiwannews.com.tw/en/news/2896622">"TSMC becomes safe haven for foreign investors; market cap hits high"</a>. <i>Taiwan News</i>. Central News Agency. 17 March 2016. Archived from <a rel="nofollow" class="external text" href="https://www.taiwannews.com.tw/en/news/2896622">the original</a> on 26 March 2022<span class="reference-accessdate">. Retrieved <span class="nowrap">17 April</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Taiwan+News&amp;rft.atitle=TSMC+becomes+safe+haven+for+foreign+investors%3B+market+cap+hits+high&amp;rft.date=2016-03-17&amp;rft_id=https%3A%2F%2Fwww.taiwannews.com.tw%2Fen%2Fnews%2F2896622&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-13"><span class="mw-cite-backlink"><b><a href="#cite_ref-13">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20240129031905/https://www.forbes.com/lists/global2000/?sh=4f5ab07e5ac0">"The Global 2000 2023"</a>. <i>Forbes</i>. Archived from <a rel="nofollow" class="external text" href="https://www.forbes.com/lists/global2000/?sh=51d599675ac0">the original</a> on 29 January 2024<span class="reference-accessdate">. Retrieved <span class="nowrap">7 February</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Forbes&amp;rft.atitle=The+Global+2000+2023&amp;rft_id=https%3A%2F%2Fwww.forbes.com%2Flists%2Fglobal2000%2F%3Fsh%3D51d599675ac0&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-14"><span class="mw-cite-backlink"><b><a href="#cite_ref-14">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFYang2024" class="citation news cs1">Yang, Charlotte (14 February 2024). <a rel="nofollow" class="external text" href="https://www.bnnbloomberg.ca/taiwan-stock-index-climbs-to-record-high-on-optimism-over-ai-1.2035062">"Taiwan Stock Index Climbs to Record High on Optimism Over AI – BNN Bloomberg"</a>. <i>BNNBloomberg</i>. Bloomberg. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20240331183154/https://www.bnnbloomberg.ca/taiwan-stock-index-climbs-to-record-high-on-optimism-over-ai-1.2035062">Archived</a> from the original on 31 March 2024<span class="reference-accessdate">. Retrieved <span class="nowrap">31 March</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=BNNBloomberg&amp;rft.atitle=Taiwan+Stock+Index+Climbs+to+Record+High+on+Optimism+Over+AI+%E2%80%93+BNN+Bloomberg&amp;rft.date=2024-02-14&amp;rft.aulast=Yang&amp;rft.aufirst=Charlotte&amp;rft_id=https%3A%2F%2Fwww.bnnbloomberg.ca%2Ftaiwan-stock-index-climbs-to-record-high-on-optimism-over-ai-1.2035062&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-15"><span class="mw-cite-backlink"><b><a href="#cite_ref-15">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://think.ing.com/articles/economic-outlook-for-taiwan-challenging-year-semiconductor-sales-slump/">"Taiwan's economic outlook: a challenging year as global semiconductor sales slump"</a>. <i>Think.ing</i>. 25 April 2023. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20240207152015/https://think.ing.com/articles/economic-outlook-for-taiwan-challenging-year-semiconductor-sales-slump/">Archived</a> from the original on 7 February 2024<span class="reference-accessdate">. Retrieved <span class="nowrap">31 March</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Think.ing&amp;rft.atitle=Taiwan%27s+economic+outlook%3A+a+challenging+year+as+global+semiconductor+sales+slump&amp;rft.date=2023-04-25&amp;rft_id=https%3A%2F%2Fthink.ing.com%2Farticles%2Feconomic-outlook-for-taiwan-challenging-year-semiconductor-sales-slump%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-profile-16"><span class="mw-cite-backlink"><b><a href="#cite_ref-profile_16-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.tsmc.com/english/aboutTSMC/company_profile.htm">"Company Info"</a>. TSMC. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20171106025256/http://www.tsmc.com/english/aboutTSMC/company_profile.htm">Archived</a> from the original on 6 November 2017<span class="reference-accessdate">. Retrieved <span class="nowrap">20 December</span> 2010</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Company+Info&amp;rft.pub=TSMC&amp;rft_id=http%3A%2F%2Fwww.tsmc.com%2Fenglish%2FaboutTSMC%2Fcompany_profile.htm&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-17"><span class="mw-cite-backlink"><b><a href="#cite_ref-17">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.design-reuse.com/foundry/technology/?prov=20">"D&amp;R Foundry Corner – TSMC"</a>. Design &amp; Reuse. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20140522182610/http://www.design-reuse.com/foundry/technology/?prov=20">Archived</a> from the original on 22 May 2014<span class="reference-accessdate">. Retrieved <span class="nowrap">18 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=D%26R+Foundry+Corner+%E2%80%93+TSMC&amp;rft.pub=Design+%26+Reuse&amp;rft_id=http%3A%2F%2Fwww.design-reuse.com%2Ffoundry%2Ftechnology%2F%3Fprov%3D20&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-18"><span class="mw-cite-backlink"><b><a href="#cite_ref-18">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFJennings" class="citation web cs1">Jennings, Ralph. <a rel="nofollow" class="external text" href="https://www.forbes.com/sites/ralphjennings/2018/06/10/how-taiwan-chipmaker-tsmc-will-prosper-for-5-more-years-without-its-iconic-founder/">"How Taiwan Chipmaker TSMC Will Prosper For 5 More Years Without Its Iconic Founder"</a>. <i>Forbes</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200709144104/https://www.forbes.com/sites/ralphjennings/2018/06/10/how-taiwan-chipmaker-tsmc-will-prosper-for-5-more-years-without-its-iconic-founder/">Archived</a> from the original on 9 July 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">9 July</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Forbes&amp;rft.atitle=How+Taiwan+Chipmaker+TSMC+Will+Prosper+For+5+More+Years+Without+Its+Iconic+Founder&amp;rft.aulast=Jennings&amp;rft.aufirst=Ralph&amp;rft_id=https%3A%2F%2Fwww.forbes.com%2Fsites%2Fralphjennings%2F2018%2F06%2F10%2Fhow-taiwan-chipmaker-tsmc-will-prosper-for-5-more-years-without-its-iconic-founder%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-19"><span class="mw-cite-backlink"><b><a href="#cite_ref-19">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFHorwitz2018" class="citation web cs1">Horwitz, Josh (5 June 2018). <a rel="nofollow" class="external text" href="https://qz.com/1294385/morris-chang-retires-from-taiwans-tsmc-as-computer-chips-godfather/">"After spawning a $100 billion industry, Taiwan's "godfather" of computer chips is retiring"</a>. <i>Quartz</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200710233600/https://qz.com/1294385/morris-chang-retires-from-taiwans-tsmc-as-computer-chips-godfather/">Archived</a> from the original on 10 July 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">9 July</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Quartz&amp;rft.atitle=After+spawning+a+%24100+billion+industry%2C+Taiwan%27s+%22godfather%22+of+computer+chips+is+retiring&amp;rft.date=2018-06-05&amp;rft.aulast=Horwitz&amp;rft.aufirst=Josh&amp;rft_id=https%3A%2F%2Fqz.com%2F1294385%2Fmorris-chang-retires-from-taiwans-tsmc-as-computer-chips-godfather%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-20"><span class="mw-cite-backlink"><b><a href="#cite_ref-20">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFPhillips2020" class="citation web cs1 cs1-prop-foreign-lang-source">Phillips, Tim (11 March 2020). <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200805074803/https://www.fool.hk/en/2020/03/11/forget-china-mobile-buy-this-stock-to-play-the-5g-and-ai-supercycle/">"Forget China Mobile. Buy This Stock to Play the 5G and AI Supercycle"</a>. <i>The Motley Fool Hong Kong</i> (in Simplified Chinese). Archived from <a rel="nofollow" class="external text" href="https://www.fool.hk/en/2020/03/11/forget-china-mobile-buy-this-stock-to-play-the-5g-and-ai-supercycle/">the original</a> on 5 August 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">9 July</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=The+Motley+Fool+Hong+Kong&amp;rft.atitle=Forget+China+Mobile.+Buy+This+Stock+to+Play+the+5G+and+AI+Supercycle&amp;rft.date=2020-03-11&amp;rft.aulast=Phillips&amp;rft.aufirst=Tim&amp;rft_id=https%3A%2F%2Fwww.fool.hk%2Fen%2F2020%2F03%2F11%2Fforget-china-mobile-buy-this-stock-to-play-the-5g-and-ai-supercycle%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-21"><span class="mw-cite-backlink"><b><a href="#cite_ref-21">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFAbrams2013" class="citation cs2">Abrams, Randy (25 November 2013), <i>Asia Semiconductor Sector (Sector Review)</i>, Asia Pacific Equity Research, Credit Suisse, pp.&#160;1, 3</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=book&amp;rft.btitle=Asia+Semiconductor+Sector+%28Sector+Review%29&amp;rft.series=Asia+Pacific+Equity+Research&amp;rft.pages=1%2C+3&amp;rft.pub=Credit+Suisse&amp;rft.date=2013-11-25&amp;rft.aulast=Abrams&amp;rft.aufirst=Randy&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-22"><span class="mw-cite-backlink"><b><a href="#cite_ref-22">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFNenni2013" class="citation web cs1">Nenni, Daniel (25 April 2013). <a rel="nofollow" class="external text" href="http://www.semiwiki.com/forum/content/2272-morris-chang-altera-intel.html">"Morris Chang on Altera and Intel"</a>. SemiWiki. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20180829000254/http://www.semiwiki.com/forum/content/2272-morris-chang-altera-intel.html">Archived</a> from the original on 29 August 2018<span class="reference-accessdate">. Retrieved <span class="nowrap">23 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Morris+Chang+on+Altera+and+Intel&amp;rft.pub=SemiWiki&amp;rft.date=2013-04-25&amp;rft.aulast=Nenni&amp;rft.aufirst=Daniel&amp;rft_id=http%3A%2F%2Fwww.semiwiki.com%2Fforum%2Fcontent%2F2272-morris-chang-altera-intel.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-23"><span class="mw-cite-backlink"><b><a href="#cite_ref-23">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="http://www.oregonlive.com/business/index.ssf/2009/03/intel_outsourcing_some_atom_ma.html">"Intel Outsourcing Some Atom Manufacturing to TSMC"</a>. <i>The Oregonian</i>. 2 March 2009. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20171223215800/http://www.oregonlive.com/business/index.ssf/2009/03/intel_outsourcing_some_atom_ma.html">Archived</a> from the original on 23 December 2017<span class="reference-accessdate">. Retrieved <span class="nowrap">15 October</span> 2010</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=The+Oregonian&amp;rft.atitle=Intel+Outsourcing+Some+Atom+Manufacturing+to+TSMC&amp;rft.date=2009-03-02&amp;rft_id=http%3A%2F%2Fwww.oregonlive.com%2Fbusiness%2Findex.ssf%2F2009%2F03%2Fintel_outsourcing_some_atom_ma.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-24"><span class="mw-cite-backlink"><b><a href="#cite_ref-24">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFLoukil2017" class="citation news cs1 cs1-prop-foreign-lang-source">Loukil, Ridha (9 October 2017). <a rel="nofollow" class="external text" href="https://www.usinenouvelle.com/article/stmicroelectronics-envisage-la-creation-de-deux-usines-de-puces-avec-l-aide-des-etats-francais-et-italien.N597638">"STMicroelectronics envisage la création de deux usines de puces avec l'aide des Etats français et italien"</a>. <i>Ousine Nouvelle</i> (in French). <a rel="nofollow" class="external text" href="https://web.archive.org/web/20180914132223/https://www.usinenouvelle.com/article/stmicroelectronics-envisage-la-creation-de-deux-usines-de-puces-avec-l-aide-des-etats-francais-et-italien.N597638">Archived</a> from the original on 14 September 2018<span class="reference-accessdate">. Retrieved <span class="nowrap">2 February</span> 2018</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Ousine+Nouvelle&amp;rft.atitle=STMicroelectronics+envisage+la+cr%C3%A9ation+de+deux+usines+de+puces+avec+l%27aide+des+Etats+fran%C3%A7ais+et+italien&amp;rft.date=2017-10-09&amp;rft.aulast=Loukil&amp;rft.aufirst=Ridha&amp;rft_id=https%3A%2F%2Fwww.usinenouvelle.com%2Farticle%2Fstmicroelectronics-envisage-la-creation-de-deux-usines-de-puces-avec-l-aide-des-etats-francais-et-italien.N597638&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-25"><span class="mw-cite-backlink"><b><a href="#cite_ref-25">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFMiller2022" class="citation book cs1">Miller, Chris (2022). <a rel="nofollow" class="external text" href="https://books.google.com/books?id=JxpdEAAAQBAJ&amp;pg=PA167"><i>Chip War: The Fight for the World's Most Critical Technology</i></a>. <a href="/wiki/Charles_Scribner%27s_Sons" title="Charles Scribner&#39;s Sons">Scribner</a>. p.&#160;167. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/978-1982172008" title="Special:BookSources/978-1982172008"><bdi>978-1982172008</bdi></a><span class="reference-accessdate">. Retrieved <span class="nowrap">16 July</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=book&amp;rft.btitle=Chip+War%3A+The+Fight+for+the+World%27s+Most+Critical+Technology&amp;rft.pages=167&amp;rft.pub=Scribner&amp;rft.date=2022&amp;rft.isbn=978-1982172008&amp;rft.aulast=Miller&amp;rft.aufirst=Chris&amp;rft_id=https%3A%2F%2Fbooks.google.com%2Fbooks%3Fid%3DJxpdEAAAQBAJ%26pg%3DPA167&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-26"><span class="mw-cite-backlink"><b><a href="#cite_ref-26">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1 cs1-prop-foreign-lang-source"><a rel="nofollow" class="external text" href="https://www.upmedia.mg/news_info.php?Type=2&amp;SerialNo=106657">"猶太人與台積電的奇特淵源"</a>. <i><a href="/wiki/Up_Media" title="Up Media">Up Media</a></i> (in Chinese (Taiwan)). 16 February 2021. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20230130153324/https://www.upmedia.mg/news_info.php?Type=2&amp;SerialNo=106657">Archived</a> from the original on 30 January 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">30 January</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Up+Media&amp;rft.atitle=%E7%8C%B6%E5%A4%AA%E4%BA%BA%E8%88%87%E5%8F%B0%E7%A9%8D%E9%9B%BB%E7%9A%84%E5%A5%87%E7%89%B9%E6%B7%B5%E6%BA%90&amp;rft.date=2021-02-16&amp;rft_id=https%3A%2F%2Fwww.upmedia.mg%2Fnews_info.php%3FType%3D2%26SerialNo%3D106657&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-27"><span class="mw-cite-backlink"><b><a href="#cite_ref-27">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1 cs1-prop-foreign-lang-source"><a rel="nofollow" class="external text" href="https://www.cw.com.tw/article/5107898">"與飛利浦數度交手"</a> (in Chinese (Taiwan)). <a href="/wiki/CommonWealth_Magazine_(Taiwan)" title="CommonWealth Magazine (Taiwan)">CommonWealth Magazine</a>. 1 April 1997. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20230314101653/https://www.cw.com.tw/article/5107898">Archived</a> from the original on 14 March 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">30 January</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=%E8%88%87%E9%A3%9B%E5%88%A9%E6%B5%A6%E6%95%B8%E5%BA%A6%E4%BA%A4%E6%89%8B&amp;rft.date=1997-04-01&amp;rft_id=https%3A%2F%2Fwww.cw.com.tw%2Farticle%2F5107898&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-28"><span class="mw-cite-backlink"><b><a href="#cite_ref-28">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFNenni2023" class="citation web cs1">Nenni, Daniel (26 November 2023). <a rel="nofollow" class="external text" href="https://semiwiki.com/semiconductor-manufacturers/304631-taiwan-semiconductor-outlook-may-1988/">"Taiwan Semiconductor Outlook May 1988"</a>. <i>Semiwiki</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20231125080607/https://semiwiki.com/semiconductor-manufacturers/304631-taiwan-semiconductor-outlook-may-1988/">Archived</a> from the original on 25 November 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">25 November</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Semiwiki&amp;rft.atitle=Taiwan+Semiconductor+Outlook+May+1988&amp;rft.date=2023-11-26&amp;rft.aulast=Nenni&amp;rft.aufirst=Daniel&amp;rft_id=https%3A%2F%2Fsemiwiki.com%2Fsemiconductor-manufacturers%2F304631-taiwan-semiconductor-outlook-may-1988%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-29"><span class="mw-cite-backlink"><b><a href="#cite_ref-29">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFWang2010" class="citation news cs1">Wang, Lisa (21 December 2010). <a rel="nofollow" class="external text" href="http://www.taipeitimes.com/News/biz/archives/2010/12/21/2003491466">"TSMC plans to increase research spending"</a>. Taipei Times. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20190324195607/http://www.taipeitimes.com/News/biz/archives/2010/12/21/2003491466">Archived</a> from the original on 24 March 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">20 December</span> 2010</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=TSMC+plans+to+increase+research+spending&amp;rft.date=2010-12-21&amp;rft.aulast=Wang&amp;rft.aufirst=Lisa&amp;rft_id=http%3A%2F%2Fwww.taipeitimes.com%2FNews%2Fbiz%2Farchives%2F2010%2F12%2F21%2F2003491466&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-30"><span class="mw-cite-backlink"><b><a href="#cite_ref-30">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="http://www.chinaknowledge.com/Newswires/News_Detail.aspx?type=1&amp;cat=CMP&amp;NewsID=%2039307">"TSMC to expand capacity by 30% in 2011"</a>. China Knowledge. 8 December 2010. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20110708145524/http://www.chinaknowledge.com/Newswires/News_Detail.aspx?type=1&amp;cat=CMP&amp;NewsID=%2039307">Archived</a> from the original on 8 July 2011<span class="reference-accessdate">. Retrieved <span class="nowrap">20 December</span> 2010</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=TSMC+to+expand+capacity+by+30%25+in+2011&amp;rft.date=2010-12-08&amp;rft_id=http%3A%2F%2Fwww.chinaknowledge.com%2FNewswires%2FNews_Detail.aspx%3Ftype%3D1%26cat%3DCMP%26NewsID%3D%252039307&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-Q2_2014_forecast-31"><span class="mw-cite-backlink">^ <a href="#cite_ref-Q2_2014_forecast_31-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-Q2_2014_forecast_31-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="http://www.taipeitimes.com/News/biz/archives/2014/04/18/2003588265">"TSMC forecasts 22 percent Q2 growth"</a>. Taipei Times. 18 April 2014. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20140522183351/http://www.taipeitimes.com/News/biz/archives/2014/04/18/2003588265">Archived</a> from the original on 22 May 2014<span class="reference-accessdate">. Retrieved <span class="nowrap">18 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=TSMC+forecasts+22+percent+Q2+growth&amp;rft.date=2014-04-18&amp;rft_id=http%3A%2F%2Fwww.taipeitimes.com%2FNews%2Fbiz%2Farchives%2F2014%2F04%2F18%2F2003588265&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-32"><span class="mw-cite-backlink"><b><a href="#cite_ref-32">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://pr.tsmc.com/english/news/1820">"TSMC Board of Directors Meeting Resolutions"</a>. TSMC. 12 August 2014. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20211216003616/https://pr.tsmc.com/english/news/1820">Archived</a> from the original on 16 December 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">17 April</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=TSMC+Board+of+Directors+Meeting+Resolutions&amp;rft.pub=TSMC&amp;rft.date=2014-08-12&amp;rft_id=https%3A%2F%2Fpr.tsmc.com%2Fenglish%2Fnews%2F1820&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-33"><span class="mw-cite-backlink"><b><a href="#cite_ref-33">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://cens.com/cens/html/en/news/news_inner_37282.html">"TSMC Kicks Off A6 Processor Trial Production with Apple"</a>. Chinese Economic News Service. 12 August 2011. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20110927000244/http://cens.com/cens/html/en/news/news_inner_37282.html">Archived</a> from the original on 27 September 2011<span class="reference-accessdate">. Retrieved <span class="nowrap">13 September</span> 2011</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=TSMC+Kicks+Off+A6+Processor+Trial+Production+with+Apple&amp;rft.pub=Chinese+Economic+News+Service&amp;rft.date=2011-08-12&amp;rft_id=http%3A%2F%2Fcens.com%2Fcens%2Fhtml%2Fen%2Fnews%2Fnews_inner_37282.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-34"><span class="mw-cite-backlink"><b><a href="#cite_ref-34">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFVelazco2011" class="citation web cs1">Velazco, Chris (12 August 2011). <a rel="nofollow" class="external text" href="https://techcrunch.com/2011/08/12/tsmc-beginning-production-of-apples-new-a6-processor/">"TSMC Beginning Production Of Apple's New A6 Processor"</a>. TechCrunch. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20170923002524/https://techcrunch.com/2011/08/12/tsmc-beginning-production-of-apples-new-a6-processor/">Archived</a> from the original on 23 September 2017<span class="reference-accessdate">. Retrieved <span class="nowrap">25 June</span> 2017</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=TSMC+Beginning+Production+Of+Apple%27s+New+A6+Processor&amp;rft.pub=TechCrunch&amp;rft.date=2011-08-12&amp;rft.aulast=Velazco&amp;rft.aufirst=Chris&amp;rft_id=https%3A%2F%2Ftechcrunch.com%2F2011%2F08%2F12%2Ftsmc-beginning-production-of-apples-new-a6-processor%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-35"><span class="mw-cite-backlink"><b><a href="#cite_ref-35">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFOliver2014" class="citation web cs1">Oliver, Sam (10 July 2014). <a rel="nofollow" class="external text" href="http://appleinsider.com/articles/14/07/10/apple-begins-receiving-shipments-of-a-series-processors-from-tsmc---report">"Apple begins receiving shipments of A-series processors from TSMC – report"</a>. AppleInsider. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20141102142327/http://appleinsider.com/articles/14/07/10/apple-begins-receiving-shipments-of-a-series-processors-from-tsmc---report">Archived</a> from the original on 2 November 2014<span class="reference-accessdate">. Retrieved <span class="nowrap">2 November</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Apple+begins+receiving+shipments+of+A-series+processors+from+TSMC+%E2%80%93+report&amp;rft.pub=AppleInsider&amp;rft.date=2014-07-10&amp;rft.aulast=Oliver&amp;rft.aufirst=Sam&amp;rft_id=http%3A%2F%2Fappleinsider.com%2Farticles%2F14%2F07%2F10%2Fapple-begins-receiving-shipments-of-a-series-processors-from-tsmc---report&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-TechNews_Apple_A8_article-36"><span class="mw-cite-backlink">^ <a href="#cite_ref-TechNews_Apple_A8_article_36-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-TechNews_Apple_A8_article_36-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20190324195602/http://technews.co/2014/02/17/tsmc-to-snatch-all-of-apples-a8-chip-orders/">"TSMC to Snatch All of Apple's A8 chip Orders?"</a>. <i>TechNews</i>. 17 February 2014. Archived from <a rel="nofollow" class="external text" href="http://technews.co/2014/02/17/tsmc-to-snatch-all-of-apples-a8-chip-orders/">the original</a> on 24 March 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">18 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=TechNews&amp;rft.atitle=TSMC+to+Snatch+All+of+Apple%27s+A8+chip+Orders%3F&amp;rft.date=2014-02-17&amp;rft_id=http%3A%2F%2Ftechnews.co%2F2014%2F02%2F17%2Ftsmc-to-snatch-all-of-apples-a8-chip-orders%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-CNET_64-bit_processor_article-37"><span class="mw-cite-backlink">^ <a href="#cite_ref-CNET_64-bit_processor_article_37-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-CNET_64-bit_processor_article_37-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFCrothers2014" class="citation web cs1">Crothers, Brooke (17 April 2014). <a rel="nofollow" class="external text" href="https://www.cnet.com/news/apple-driving-move-to-64-bit-mobile-processors-says-tsmc/">"Apple driving move to 64-bit mobile processors, TSMC says"</a>. CNET. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20140521205649/http://www.cnet.com/news/apple-driving-move-to-64-bit-mobile-processors-says-tsmc/">Archived</a> from the original on 21 May 2014<span class="reference-accessdate">. Retrieved <span class="nowrap">23 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Apple+driving+move+to+64-bit+mobile+processors%2C+TSMC+says&amp;rft.pub=CNET&amp;rft.date=2014-04-17&amp;rft.aulast=Crothers&amp;rft.aufirst=Brooke&amp;rft_id=http%3A%2F%2Fwww.cnet.com%2Fnews%2Fapple-driving-move-to-64-bit-mobile-processors-says-tsmc%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-Taipei_Times_Apple_article-38"><span class="mw-cite-backlink">^ <a href="#cite_ref-Taipei_Times_Apple_article_38-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-Taipei_Times_Apple_article_38-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="http://www.taipeitimes.com/News/biz/archives/2014/04/15/2003588037">"Apple chips to be 20% of TSMC sales"</a>. <i>Taipei Times</i>. 15 April 2014. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20171222105135/http://www.taipeitimes.com/News/biz/archives/2014/04/15/2003588037">Archived</a> from the original on 22 December 2017<span class="reference-accessdate">. Retrieved <span class="nowrap">18 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Taipei+Times&amp;rft.atitle=Apple+chips+to+be+20%25+of+TSMC+sales&amp;rft.date=2014-04-15&amp;rft_id=http%3A%2F%2Fwww.taipeitimes.com%2FNews%2Fbiz%2Farchives%2F2014%2F04%2F15%2F2003588037&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-39"><span class="mw-cite-backlink"><b><a href="#cite_ref-39">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFCollins2014" class="citation web cs1">Collins, Mark (8 October 2014). <a rel="nofollow" class="external text" href="https://web.archive.org/web/20141013181149/http://gsminsider.com/2014/10/arm-partnered-tsmc-10nm-finfet-processors/">"ARM Partnered With TSMC For 10nm FinFET Processors"</a>. GSM Insider. Archived from <a rel="nofollow" class="external text" href="http://gsminsider.com/2014/10/arm-partnered-tsmc-10nm-finfet-processors/">the original</a> on 13 October 2014.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=ARM+Partnered+With+TSMC+For+10nm+FinFET+Processors&amp;rft.pub=GSM+Insider&amp;rft.date=2014-10-08&amp;rft.aulast=Collins&amp;rft.aufirst=Mark&amp;rft_id=http%3A%2F%2Fgsminsider.com%2F2014%2F10%2Farm-partnered-tsmc-10nm-finfet-processors%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-40"><span class="mw-cite-backlink"><b><a href="#cite_ref-40">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFXin2024" class="citation book cs1">Xin, Qiang (2024). "Selective Engagement: Mainland China's Dual-Track Taiwan Policy". In <a href="/wiki/Suisheng_Zhao" title="Suisheng Zhao">Zhao, Suisheng</a> (ed.). <i>The Taiwan Question in Xi Jinping's Era: Beijing's Evolving Taiwan Policy and Taiwan's Internal and External Dynamics</i>. London and New York: <a href="/wiki/Routledge" title="Routledge">Routledge</a>. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/9781032861661" title="Special:BookSources/9781032861661"><bdi>9781032861661</bdi></a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=bookitem&amp;rft.atitle=Selective+Engagement%3A+Mainland+China%27s+Dual-Track+Taiwan+Policy&amp;rft.btitle=The+Taiwan+Question+in+Xi+Jinping%27s+Era%3A+Beijing%27s+Evolving+Taiwan+Policy+and+Taiwan%27s+Internal+and+External+Dynamics&amp;rft.place=London+and+New+York&amp;rft.pub=Routledge&amp;rft.date=2024&amp;rft.isbn=9781032861661&amp;rft.aulast=Xin&amp;rft.aufirst=Qiang&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-41"><span class="mw-cite-backlink"><b><a href="#cite_ref-41">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://www.bloomberg.com/news/articles/2021-12-08/tsmc-leads-rush-for-renewables-ahead-of-taiwan-energy-vote">"TSMC Leads Rush for Renewables Ahead of Taiwan Energy Vote"</a>. <i>Bloomberg.com</i>. 8 December 2021. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20220207173304/https://www.bloomberg.com/news/articles/2021-12-08/tsmc-leads-rush-for-renewables-ahead-of-taiwan-energy-vote">Archived</a> from the original on 7 February 2022<span class="reference-accessdate">. Retrieved <span class="nowrap">19 December</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Bloomberg.com&amp;rft.atitle=TSMC+Leads+Rush+for+Renewables+Ahead+of+Taiwan+Energy+Vote&amp;rft.date=2021-12-08&amp;rft_id=https%3A%2F%2Fwww.bloomberg.com%2Fnews%2Farticles%2F2021-12-08%2Ftsmc-leads-rush-for-renewables-ahead-of-taiwan-energy-vote&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-42"><span class="mw-cite-backlink"><b><a href="#cite_ref-42">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.taipeitimes.com/News/editorials/archives/2020/07/17/2003740051">"TSMC's push toward green energy – Taipei Times"</a>. <i>www.taipeitimes.com</i>. 17 July 2020. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20211219172953/https://www.taipeitimes.com/News/editorials/archives/2020/07/17/2003740051">Archived</a> from the original on 19 December 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">19 December</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.taipeitimes.com&amp;rft.atitle=TSMC%27s+push+toward+green+energy+%E2%80%93+Taipei+Times&amp;rft.date=2020-07-17&amp;rft_id=https%3A%2F%2Fwww.taipeitimes.com%2FNews%2Feditorials%2Farchives%2F2020%2F07%2F17%2F2003740051&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-43"><span class="mw-cite-backlink"><b><a href="#cite_ref-43">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://investor.tsmc.com/english/annual-reports">"Annual Reports"</a>. <i>TSMC</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210420043720/https://investor.tsmc.com/english/annual-reports">Archived</a> from the original on 20 April 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">1 May</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=TSMC&amp;rft.atitle=Annual+Reports&amp;rft_id=https%3A%2F%2Finvestor.tsmc.com%2Fenglish%2Fannual-reports&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-44"><span class="mw-cite-backlink"><b><a href="#cite_ref-44">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFLee2020" class="citation web cs1">Lee, Yimou (16 April 2020). <a rel="nofollow" class="external text" href="https://www.reuters.com/article/us-tsmc-results-idUSKCN21Y0IN">"TSMC Q1 profit almost doubles but trims full-year revenue estimate on pandemic"</a>. <i>U.S</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200517023902/https://www.reuters.com/article/us-tsmc-results-idUSKCN21Y0IN">Archived</a> from the original on 17 May 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">15 May</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=U.S.&amp;rft.atitle=TSMC+Q1+profit+almost+doubles+but+trims+full-year+revenue+estimate+on+pandemic&amp;rft.date=2020-04-16&amp;rft.aulast=Lee&amp;rft.aufirst=Yimou&amp;rft_id=https%3A%2F%2Fwww.reuters.com%2Farticle%2Fus-tsmc-results-idUSKCN21Y0IN&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-TSM-YahooFinance-45"><span class="mw-cite-backlink">^ <a href="#cite_ref-TSM-YahooFinance_45-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-TSM-YahooFinance_45-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://finance.yahoo.com/quote/TSM">"Taiwan Semiconductor Manufacturer (TSM) Stock Price, Quote, History &amp; News – Yahoo Finance"</a>. Finance.yahoo.com. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200528213313/https://finance.yahoo.com/quote/TSM/">Archived</a> from the original on 28 May 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">15 May</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Taiwan+Semiconductor+Manufacturer+%28TSM%29+Stock+Price%2C+Quote%2C+History+%26+News+%E2%80%93+Yahoo+Finance&amp;rft.pub=Finance.yahoo.com&amp;rft_id=https%3A%2F%2Ffinance.yahoo.com%2Fquote%2FTSM&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-46"><span class="mw-cite-backlink"><b><a href="#cite_ref-46">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20110728182630/http://business.asiaone.com/Business/News/Story/A1Story20101221-253866.html">"Market capitalization of TSMC reaches a historic high: CEO"</a>. AsiaOne Business. 21 December 2010. Archived from <a rel="nofollow" class="external text" href="http://business.asiaone.com/Business/News/Story/A1Story20101221-253866.html">the original</a> on 28 July 2011<span class="reference-accessdate">. Retrieved <span class="nowrap">20 December</span> 2010</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=Market+capitalization+of+TSMC+reaches+a+historic+high%3A+CEO&amp;rft.date=2010-12-21&amp;rft_id=http%3A%2F%2Fbusiness.asiaone.com%2FBusiness%2FNews%2FStory%2FA1Story20101221-253866.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-47"><span class="mw-cite-backlink"><b><a href="#cite_ref-47">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://ghostarchive.org/archive/20221210/http://www.ft.com/intl/indepth/ft500">"FT 500 2013"</a>. <i>Financial Times</i>. Archived from <span class="id-lock-subscription" title="Paid subscription required"><a rel="nofollow" class="external text" href="https://www.ft.com/intl/indepth/ft500">the original</a></span> on 10 December 2022<span class="reference-accessdate">. Retrieved <span class="nowrap">18 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Financial+Times&amp;rft.atitle=FT+500+2013&amp;rft_id=http%3A%2F%2Fwww.ft.com%2Fintl%2Findepth%2Fft500&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-48"><span class="mw-cite-backlink"><b><a href="#cite_ref-48">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFCulpan2017" class="citation news cs1">Culpan, Tim (22 March 2017). <a rel="nofollow" class="external text" href="https://www.bloomberg.com/gadfly/articles/2017-03-22/chipzilla-intel-toppled-by-taiwan-s-supplier-to-the-stars">"Chipzilla Got Toppled"</a>. <i>Bloomberg Gadfly</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20170322071356/https://www.bloomberg.com/gadfly/articles/2017-03-22/chipzilla-intel-toppled-by-taiwan-s-supplier-to-the-stars">Archived</a> from the original on 22 March 2017<span class="reference-accessdate">. Retrieved <span class="nowrap">22 March</span> 2017</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Bloomberg+Gadfly&amp;rft.atitle=Chipzilla+Got+Toppled&amp;rft.date=2017-03-22&amp;rft.aulast=Culpan&amp;rft.aufirst=Tim&amp;rft_id=https%3A%2F%2Fwww.bloomberg.com%2Fgadfly%2Farticles%2F2017-03-22%2Fchipzilla-intel-toppled-by-taiwan-s-supplier-to-the-stars&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-49"><span class="mw-cite-backlink"><b><a href="#cite_ref-49">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFWu2020" class="citation news cs1">Wu, Debby (28 July 2020). <a rel="nofollow" class="external text" href="https://www.bloomberg.com/news/articles/2020-07-28/tsmc-among-world-s-top-10-biggest-stocks-after-72-billion-surge">"TSMC Pares Gains After $72 Billion Surge to Start the Week"</a>. <i>Bloomberg</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210417120954/https://www.bloomberg.com/news/articles/2020-07-28/tsmc-among-world-s-top-10-biggest-stocks-after-72-billion-surge">Archived</a> from the original on 17 April 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">17 April</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Bloomberg&amp;rft.atitle=TSMC+Pares+Gains+After+%2472+Billion+Surge+to+Start+the+Week&amp;rft.date=2020-07-28&amp;rft.aulast=Wu&amp;rft.aufirst=Debby&amp;rft_id=https%3A%2F%2Fwww.bloomberg.com%2Fnews%2Farticles%2F2020-07-28%2Ftsmc-among-world-s-top-10-biggest-stocks-after-72-billion-surge&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-50"><span class="mw-cite-backlink"><b><a href="#cite_ref-50">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.asiafinancial.com/china-risk-sees-taiwans-tsmc-moving-chip-fabs-overseas">"China War Risk Sees Taiwan's TSMC Moving Fabs to US, Japan"</a>. <i>Asia Financial</i>. 21 February 2022. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20220519212156/https://www.asiafinancial.com/china-risk-sees-taiwans-tsmc-moving-chip-fabs-overseas">Archived</a> from the original on 19 May 2022<span class="reference-accessdate">. Retrieved <span class="nowrap">27 May</span> 2022</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Asia+Financial&amp;rft.atitle=China+War+Risk+Sees+Taiwan%27s+TSMC+Moving+Fabs+to+US%2C+Japan&amp;rft.date=2022-02-21&amp;rft_id=https%3A%2F%2Fwww.asiafinancial.com%2Fchina-risk-sees-taiwans-tsmc-moving-chip-fabs-overseas&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-51"><span class="mw-cite-backlink"><b><a href="#cite_ref-51">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://asia.nikkei.com/Spotlight/Huawei-crackdown/TSMC-plans-to-halt-chip-supplies-to-Huawei-in-2-months">"TSMC plans to halt chip supplies to Huawei in 2 months"</a>. <i>Nikkei Asian Review</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200808203753/https://asia.nikkei.com/Spotlight/Huawei-crackdown/TSMC-plans-to-halt-chip-supplies-to-Huawei-in-2-months">Archived</a> from the original on 8 August 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">9 August</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Nikkei+Asian+Review&amp;rft.atitle=TSMC+plans+to+halt+chip+supplies+to+Huawei+in+2+months&amp;rft_id=https%3A%2F%2Fasia.nikkei.com%2FSpotlight%2FHuawei-crackdown%2FTSMC-plans-to-halt-chip-supplies-to-Huawei-in-2-months&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-52"><span class="mw-cite-backlink"><b><a href="#cite_ref-52">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFFrumusanu" class="citation web cs1">Frumusanu, Andrei. <a rel="nofollow" class="external text" href="https://www.anandtech.com/show/15915/tsmc-confirms-halt-to-huawei-shipments-in-september">"TSMC Confirms Halt to Huawei Shipments In September"</a>. <i>www.anandtech.com</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200808183636/http://www4.anandtech.com/show/15915/tsmc-confirms-halt-to-huawei-shipments-in-september">Archived</a> from the original on 8 August 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">9 August</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.anandtech.com&amp;rft.atitle=TSMC+Confirms+Halt+to+Huawei+Shipments+In+September&amp;rft.aulast=Frumusanu&amp;rft.aufirst=Andrei&amp;rft_id=https%3A%2F%2Fwww.anandtech.com%2Fshow%2F15915%2Ftsmc-confirms-halt-to-huawei-shipments-in-september&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-53"><span class="mw-cite-backlink"><b><a href="#cite_ref-53">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFWuKing2020" class="citation news cs1">Wu, Debby; King, Ian (19 November 2020). <a rel="nofollow" class="external text" href="https://www.bloomberg.com/news/articles/2020-11-19/tsmc-wins-approval-from-phoenix-for-12-billion-chip-plant">"TSMC Wins Approval From Phoenix for $12 Billion Chip Plant"</a>. <i>Bloomberg</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210227092258/https://www.bloomberg.com/news/articles/2020-11-19/tsmc-wins-approval-from-phoenix-for-12-billion-chip-plant">Archived</a> from the original on 27 February 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">2 March</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Bloomberg&amp;rft.atitle=TSMC+Wins+Approval+From+Phoenix+for+%2412+Billion+Chip+Plant&amp;rft.date=2020-11-19&amp;rft.aulast=Wu&amp;rft.aufirst=Debby&amp;rft.au=King%2C+Ian&amp;rft_id=https%3A%2F%2Fwww.bloomberg.com%2Fnews%2Farticles%2F2020-11-19%2Ftsmc-wins-approval-from-phoenix-for-12-billion-chip-plant&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-54"><span class="mw-cite-backlink"><b><a href="#cite_ref-54">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFVanek2021" class="citation news cs1">Vanek, Corina (2 March 2021). <a rel="nofollow" class="external text" href="https://www.bizjournals.com/phoenix/news/2021/03/02/taiwan-semiconductor-phoenix-plant-35-billion.html">"Taiwan Semiconductor's Phoenix plant likely three times larger than originally announced"</a>. <i>Phoenix Business Journal</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210514174609/https://www.bizjournals.com/phoenix/news/2021/03/02/taiwan-semiconductor-phoenix-plant-35-billion.html">Archived</a> from the original on 14 May 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">1 May</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Phoenix+Business+Journal&amp;rft.atitle=Taiwan+Semiconductor%27s+Phoenix+plant+likely+three+times+larger+than+originally+announced&amp;rft.date=2021-03-02&amp;rft.aulast=Vanek&amp;rft.aufirst=Corina&amp;rft_id=https%3A%2F%2Fwww.bizjournals.com%2Fphoenix%2Fnews%2F2021%2F03%2F02%2Ftaiwan-semiconductor-phoenix-plant-35-billion.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-Reuters-55"><span class="mw-cite-backlink">^ <a href="#cite_ref-Reuters_55-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-Reuters_55-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-Reuters_55-2"><sup><i><b>c</b></i></sup></a> <a href="#cite_ref-Reuters_55-3"><sup><i><b>d</b></i></sup></a> <a href="#cite_ref-Reuters_55-4"><sup><i><b>e</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFBlanchard2021" class="citation news cs1">Blanchard, Ben (12 July 2021). <a rel="nofollow" class="external text" href="https://www.reuters.com/world/asia-pacific/taiwans-foxconn-tsmc-confirm-350-mln-covid-19-vaccine-deal-2021-07-11/">"Taiwan finally getting BioNTech COVID vaccines in $350 mln deal"</a>. <i>Reuters</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210712001324/https://www.reuters.com/world/asia-pacific/taiwans-foxconn-tsmc-confirm-350-mln-covid-19-vaccine-deal-2021-07-11/">Archived</a> from the original on 12 July 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">12 July</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Reuters&amp;rft.atitle=Taiwan+finally+getting+BioNTech+COVID+vaccines+in+%24350+mln+deal&amp;rft.date=2021-07-12&amp;rft.aulast=Blanchard&amp;rft.aufirst=Ben&amp;rft_id=https%3A%2F%2Fwww.reuters.com%2Fworld%2Fasia-pacific%2Ftaiwans-foxconn-tsmc-confirm-350-mln-covid-19-vaccine-deal-2021-07-11%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-56"><span class="mw-cite-backlink"><b><a href="#cite_ref-56">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFBlanchard2021" class="citation news cs1">Blanchard, Ben (24 May 2021). <a rel="nofollow" class="external text" href="https://www.reuters.com/world/asia-pacific/pressure-accept-china-vaccines-intensifies-taiwan-battles-covid-surge-2021-05-24/">"Pressure to accept China vaccines intensifies as Taiwan battles COVID surge"</a>. <i>Reuters</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210712152303/https://www.reuters.com/world/asia-pacific/pressure-accept-china-vaccines-intensifies-taiwan-battles-covid-surge-2021-05-24/">Archived</a> from the original on 12 July 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">12 July</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Reuters&amp;rft.atitle=Pressure+to+accept+China+vaccines+intensifies+as+Taiwan+battles+COVID+surge&amp;rft.date=2021-05-24&amp;rft.aulast=Blanchard&amp;rft.aufirst=Ben&amp;rft_id=https%3A%2F%2Fwww.reuters.com%2Fworld%2Fasia-pacific%2Fpressure-accept-china-vaccines-intensifies-taiwan-battles-covid-surge-2021-05-24%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-FinTimes-57"><span class="mw-cite-backlink">^ <a href="#cite_ref-FinTimes_57-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-FinTimes_57-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-FinTimes_57-2"><sup><i><b>c</b></i></sup></a> <a href="#cite_ref-FinTimes_57-3"><sup><i><b>d</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFHille2012" class="citation news cs1">Hille, Kathrin (11 July 2012). <a rel="nofollow" class="external text" href="https://ghostarchive.org/archive/20221210/https://www.ft.com/content/a5b8cf73-0d46-4ed8-a75f-69902b8a951c">"TSMC and Foxconn join forces to secure vaccines for Taiwan"</a>. <i>Financial Times</i>. Archived from <span class="id-lock-subscription" title="Paid subscription required"><a rel="nofollow" class="external text" href="https://www.ft.com/content/a5b8cf73-0d46-4ed8-a75f-69902b8a951c">the original</a></span> on 10 December 2022<span class="reference-accessdate">. Retrieved <span class="nowrap">12 July</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Financial+Times&amp;rft.atitle=TSMC+and+Foxconn+join+forces+to+secure+vaccines+for+Taiwan&amp;rft.date=2012-07-11&amp;rft.aulast=Hille&amp;rft.aufirst=Kathrin&amp;rft_id=https%3A%2F%2Fwww.ft.com%2Fcontent%2Fa5b8cf73-0d46-4ed8-a75f-69902b8a951c&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-58"><span class="mw-cite-backlink"><b><a href="#cite_ref-58">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFZhong2021" class="citation news cs1">Zhong, Raymond (16 June 2021). <a rel="nofollow" class="external text" href="https://www.nytimes.com/2021/06/16/business/taiwan-china-biontech-vaccine.html">"Taiwan Wants German Vaccines. China May Be Standing in Its Way"</a>. <i>The New York Times</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210712152619/https://www.nytimes.com/2021/06/16/business/taiwan-china-biontech-vaccine.html">Archived</a> from the original on 12 July 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">12 July</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=The+New+York+Times&amp;rft.atitle=Taiwan+Wants+German+Vaccines.+China+May+Be+Standing+in+Its+Way.&amp;rft.date=2021-06-16&amp;rft.aulast=Zhong&amp;rft.aufirst=Raymond&amp;rft_id=https%3A%2F%2Fwww.nytimes.com%2F2021%2F06%2F16%2Fbusiness%2Ftaiwan-china-biontech-vaccine.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-59"><span class="mw-cite-backlink"><b><a href="#cite_ref-59">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFChang2021" class="citation news cs1">Chang, Eric (26 August 2021). <a rel="nofollow" class="external text" href="https://www.taiwannews.com.tw/en/news/4276997">"Taiwan's TSMC increases chip prices by up to 20% amid global shortage"</a>. <i>Taiwan News</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210829211905/https://www.taiwannews.com.tw/en/news/4276997">Archived</a> from the original on 29 August 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">29 August</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Taiwan+News&amp;rft.atitle=Taiwan%27s+TSMC+increases+chip+prices+by+up+to+20%25+amid+global+shortage&amp;rft.date=2021-08-26&amp;rft.aulast=Chang&amp;rft.aufirst=Eric&amp;rft_id=https%3A%2F%2Fwww.taiwannews.com.tw%2Fen%2Fnews%2F4276997&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-60"><span class="mw-cite-backlink"><b><a href="#cite_ref-60">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://pr.tsmc.com/english/news/3113">"TSMC Celebrates the Opening of JASM in Kumamoto, Japan"</a>. <i>Taiwan Semiconductor Manufacturing Company Limited</i>. 24 February 2024<span class="reference-accessdate">. Retrieved <span class="nowrap">11 February</span> 2025</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Taiwan+Semiconductor+Manufacturing+Company+Limited&amp;rft.atitle=TSMC+Celebrates+the+Opening+of+JASM+in+Kumamoto%2C+Japan&amp;rft.date=2024-02-24&amp;rft_id=https%3A%2F%2Fpr.tsmc.com%2Fenglish%2Fnews%2F3113&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-TaipeiTimes20220216-61"><span class="mw-cite-backlink">^ <a href="#cite_ref-TaipeiTimes20220216_61-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-TaipeiTimes20220216_61-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-TaipeiTimes20220216_61-2"><sup><i><b>c</b></i></sup></a> <a href="#cite_ref-TaipeiTimes20220216_61-3"><sup><i><b>d</b></i></sup></a> <a href="#cite_ref-TaipeiTimes20220216_61-4"><sup><i><b>e</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.taipeitimes.com/News/biz/archives/2022/02/16/2003773182">"Denso to take stake in TSMC venture"</a>. <i>Taipei Times</i>. 16 February 2022. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20230122171524/https://www.taipeitimes.com/News/biz/archives/2022/02/16/2003773182">Archived</a> from the original on 22 January 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">22 January</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Taipei+Times&amp;rft.atitle=Denso+to+take+stake+in+TSMC+venture&amp;rft.date=2022-02-16&amp;rft_id=https%3A%2F%2Fwww.taipeitimes.com%2FNews%2Fbiz%2Farchives%2F2022%2F02%2F16%2F2003773182&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-PressRelease20220215-62"><span class="mw-cite-backlink">^ <a href="#cite_ref-PressRelease20220215_62-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-PressRelease20220215_62-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-PressRelease20220215_62-2"><sup><i><b>c</b></i></sup></a> <a href="#cite_ref-PressRelease20220215_62-3"><sup><i><b>d</b></i></sup></a> <a href="#cite_ref-PressRelease20220215_62-4"><sup><i><b>e</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://pr.tsmc.com/english/news/2911">"DENSO to Take Minority Stake in JASM"</a>. <i>TSMC</i>. 15 February 2022<span class="reference-accessdate">. Retrieved <span class="nowrap">23 January</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=TSMC&amp;rft.atitle=DENSO+to+Take+Minority+Stake+in+JASM&amp;rft.date=2022-02-15&amp;rft_id=https%3A%2F%2Fpr.tsmc.com%2Fenglish%2Fnews%2F2911&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-USNews20220215-63"><span class="mw-cite-backlink">^ <a href="#cite_ref-USNews20220215_63-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-USNews20220215_63-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-USNews20220215_63-2"><sup><i><b>c</b></i></sup></a> <a href="#cite_ref-USNews20220215_63-3"><sup><i><b>d</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.usnews.com/news/technology/articles/2022-02-15/japans-denso-to-invest-in-tsmc-chip-factory-in-japan">"TSMC to Expand New Japan Chip Factory, Denso Takes Stake"</a>. <i>U.S. News &amp; World Report</i>. 15 February 2022. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20230122171525/https://www.usnews.com/news/technology/articles/2022-02-15/japans-denso-to-invest-in-tsmc-chip-factory-in-japan">Archived</a> from the original on 22 January 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">23 January</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=U.S.+News+%26+World+Report&amp;rft.atitle=TSMC+to+Expand+New+Japan+Chip+Factory%2C+Denso+Takes+Stake&amp;rft.date=2022-02-15&amp;rft_id=https%3A%2F%2Fwww.usnews.com%2Fnews%2Ftechnology%2Farticles%2F2022-02-15%2Fjapans-denso-to-invest-in-tsmc-chip-factory-in-japan&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-64"><span class="mw-cite-backlink"><b><a href="#cite_ref-64">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFKharpal2022" class="citation news cs1">Kharpal, Arjun (14 July 2022). <a rel="nofollow" class="external text" href="https://www.cnbc.com/2022/07/14/tsmc-q2-2022-chipmaker-posts-record-profit-and-strong-guidance.html">"World's largest chipmaker TSMC posts record profit allaying fears over semiconductor headwinds"</a>. <i><a href="/wiki/CNBC" title="CNBC">CNBC</a></i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20220714201049/https://www.cnbc.com/2022/07/14/tsmc-q2-2022-chipmaker-posts-record-profit-and-strong-guidance.html">Archived</a> from the original on 14 July 2022<span class="reference-accessdate">. Retrieved <span class="nowrap">14 July</span> 2022</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=CNBC&amp;rft.atitle=World%27s+largest+chipmaker+TSMC+posts+record+profit+allaying+fears+over+semiconductor+headwinds&amp;rft.date=2022-07-14&amp;rft.aulast=Kharpal&amp;rft.aufirst=Arjun&amp;rft_id=https%3A%2F%2Fwww.cnbc.com%2F2022%2F07%2F14%2Ftsmc-q2-2022-chipmaker-posts-record-profit-and-strong-guidance.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-65"><span class="mw-cite-backlink"><b><a href="#cite_ref-65">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFPlatt2022" class="citation web cs1">Platt, Eric (15 November 2022). <a rel="nofollow" class="external text" href="https://ghostarchive.org/archive/20221210/https://www.ft.com/content/6d4bb1f4-270a-46bd-8069-81148b636647">"Warren Buffett's Berkshire Hathaway buys $4bn stake in chipmaker TSMC"</a>. <i><a href="/wiki/Financial_Times" title="Financial Times">Financial Times</a></i>. Archived from <span class="id-lock-limited" title="Free access subject to limited trial, subscription normally required"><a rel="nofollow" class="external text" href="https://www.ft.com/content/6d4bb1f4-270a-46bd-8069-81148b636647">the original</a></span> on 10 December 2022.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Financial+Times&amp;rft.atitle=Warren+Buffett%27s+Berkshire+Hathaway+buys+%244bn+stake+in+chipmaker+TSMC&amp;rft.date=2022-11-15&amp;rft.aulast=Platt&amp;rft.aufirst=Eric&amp;rft_id=https%3A%2F%2Fwww.ft.com%2Fcontent%2F6d4bb1f4-270a-46bd-8069-81148b636647&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-66"><span class="mw-cite-backlink"><b><a href="#cite_ref-66">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFMandlS2023" class="citation news cs1">Mandl, Carolina; S, Sittarasu (14 February 2023). <a rel="nofollow" class="external text" href="https://www.reuters.com/business/buffetts-berkshire-reduces-stake-activision-blizzard-2023-02-14/">"Berkshire dumps shares in TSMC, banks; increases Apple stake"</a>. Reuters. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20230216040016/https://www.reuters.com/business/buffetts-berkshire-reduces-stake-activision-blizzard-2023-02-14/">Archived</a> from the original on 16 February 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">16 February</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=Berkshire+dumps+shares+in+TSMC%2C+banks%3B+increases+Apple+stake&amp;rft.date=2023-02-14&amp;rft.aulast=Mandl&amp;rft.aufirst=Carolina&amp;rft.au=S%2C+Sittarasu&amp;rft_id=https%3A%2F%2Fwww.reuters.com%2Fbusiness%2Fbuffetts-berkshire-reduces-stake-activision-blizzard-2023-02-14%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-67"><span class="mw-cite-backlink"><b><a href="#cite_ref-67">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFStempel2023" class="citation news cs1">Stempel, Jonathan (11 April 2023). <a rel="nofollow" class="external text" href="https://www.reuters.com/technology/buffett-says-geopolitics-factor-berkshire-sale-tsmc-stake-2023-04-11/">"Buffett says geopolitics a factor in Berkshire sale of TSMC stake"</a>. Reuters. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20230412042234/https://www.reuters.com/technology/buffett-says-geopolitics-factor-berkshire-sale-tsmc-stake-2023-04-11/">Archived</a> from the original on 12 April 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">12 April</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=Buffett+says+geopolitics+a+factor+in+Berkshire+sale+of+TSMC+stake&amp;rft.date=2023-04-11&amp;rft.aulast=Stempel&amp;rft.aufirst=Jonathan&amp;rft_id=https%3A%2F%2Fwww.reuters.com%2Ftechnology%2Fbuffett-says-geopolitics-factor-berkshire-sale-tsmc-stake-2023-04-11%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-68"><span class="mw-cite-backlink"><b><a href="#cite_ref-68">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20240223063328/https://www.cnbc.com/2024/02/15/tsmc-shares-hit-record-high-after-morgan-stanley-upgrades-nvidia.html">"TSMC shares hit record high after Morgan Stanley lifts client Nvidia's price target on AI chip demand"</a>. <i>CNBC</i>. 15 February 2024. Archived from <a rel="nofollow" class="external text" href="https://www.cnbc.com/2024/02/15/tsmc-shares-hit-record-high-after-morgan-stanley-upgrades-nvidia.html">the original</a> on 23 February 2024<span class="reference-accessdate">. Retrieved <span class="nowrap">25 February</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=CNBC&amp;rft.atitle=TSMC+shares+hit+record+high+after+Morgan+Stanley+lifts+client+Nvidia%27s+price+target+on+AI+chip+demand&amp;rft.date=2024-02-15&amp;rft_id=https%3A%2F%2Fwww.cnbc.com%2F2024%2F02%2F15%2Ftsmc-shares-hit-record-high-after-morgan-stanley-upgrades-nvidia.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-69"><span class="mw-cite-backlink"><b><a href="#cite_ref-69">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://research.ftserussell.com/Analytics/FactSheets/Home/DownloadSingleIssue?issueName=F4GTESG&amp;isManual=False">"Archived copy"</a>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20240603145552/https://research.ftserussell.com/Analytics/FactSheets/Home/DownloadSingleIssue?issueName=F4GTESG&amp;isManual=False">Archived</a> from the original on 3 June 2024<span class="reference-accessdate">. Retrieved <span class="nowrap">3 June</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Archived+copy&amp;rft_id=https%3A%2F%2Fresearch.ftserussell.com%2FAnalytics%2FFactSheets%2FHome%2FDownloadSingleIssue%3FissueName%3DF4GTESG%26isManual%3DFalse&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span><span class="cs1-maint citation-comment"><code class="cs1-code">{{<a href="/wiki/Template:Cite_web" title="Template:Cite web">cite web</a>}}</code>: CS1 maint: archived copy as title (<a href="/wiki/Category:CS1_maint:_archived_copy_as_title" title="Category:CS1 maint: archived copy as title">link</a>)</span></span> </li> <li id="cite_note-70"><span class="mw-cite-backlink"><b><a href="#cite_ref-70">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFChenBerman2024" class="citation web cs1">Chen, Eliot; Berman, Noah (31 October 2024). <a rel="nofollow" class="external text" href="https://www.thewirechina.com/2024/10/31/tsmcs-huawei-headache-xiamen-sophgo-bitmain-sophon-tsmc/">"TSMC's Huawei Headache"</a>. <i><a href="/wiki/The_Wire_China" title="The Wire China">The Wire China</a></i><span class="reference-accessdate">. Retrieved <span class="nowrap">3 November</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=The+Wire+China&amp;rft.atitle=TSMC%27s+Huawei+Headache&amp;rft.date=2024-10-31&amp;rft.aulast=Chen&amp;rft.aufirst=Eliot&amp;rft.au=Berman%2C+Noah&amp;rft_id=https%3A%2F%2Fwww.thewirechina.com%2F2024%2F10%2F31%2Ftsmcs-huawei-headache-xiamen-sophgo-bitmain-sophon-tsmc%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-71"><span class="mw-cite-backlink"><b><a href="#cite_ref-71">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.taipeitimes.com/News/front/archives/2024/10/24/2003825780">"TSMC notifies US about use of its chip by Huawei"</a>. <i><a href="/wiki/Taipei_Times" title="Taipei Times">Taipei Times</a></i>. 24 October 2024<span class="reference-accessdate">. Retrieved <span class="nowrap">23 October</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Taipei+Times&amp;rft.atitle=TSMC+notifies+US+about+use+of+its+chip+by+Huawei&amp;rft.date=2024-10-24&amp;rft_id=https%3A%2F%2Fwww.taipeitimes.com%2FNews%2Ffront%2Farchives%2F2024%2F10%2F24%2F2003825780&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-72"><span class="mw-cite-backlink"><b><a href="#cite_ref-72">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFAlper2024" class="citation news cs1">Alper, Alexandra (23 October 2024). <a rel="nofollow" class="external text" href="https://www.reuters.com/technology/us-lawmaker-demands-answers-tsmc-chip-huawei-device-2024-10-23/">"US lawmaker demands answers on TSMC chip in Huawei device"</a>. <i><a href="/wiki/Reuters" title="Reuters">Reuters</a></i><span class="reference-accessdate">. Retrieved <span class="nowrap">23 October</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Reuters&amp;rft.atitle=US+lawmaker+demands+answers+on+TSMC+chip+in+Huawei+device&amp;rft.date=2024-10-23&amp;rft.aulast=Alper&amp;rft.aufirst=Alexandra&amp;rft_id=https%3A%2F%2Fwww.reuters.com%2Ftechnology%2Fus-lawmaker-demands-answers-tsmc-chip-huawei-device-2024-10-23%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-73"><span class="mw-cite-backlink"><b><a href="#cite_ref-73">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.globalfoundries.com/press-release/globalfoundries-files-patent-infringement-lawsuits-against-tsmc-us-and-germany">"GLOBALFOUNDRIES Files Patent Infringement Lawsuits Against TSMC In the U.S. and Germany"</a>. <i>GlobalFoundries</i>. 26 August 2019. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210417120955/https://www.globalfoundries.com/press-release/globalfoundries-files-patent-infringement-lawsuits-against-tsmc-us-and-germany">Archived</a> from the original on 17 April 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">17 April</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=GlobalFoundries&amp;rft.atitle=GLOBALFOUNDRIES+Files+Patent+Infringement+Lawsuits+Against+TSMC+In+the+U.S.+and+Germany&amp;rft.date=2019-08-26&amp;rft_id=https%3A%2F%2Fwww.globalfoundries.com%2Fpress-release%2Fglobalfoundries-files-patent-infringement-lawsuits-against-tsmc-us-and-germany&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-74"><span class="mw-cite-backlink"><b><a href="#cite_ref-74">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.globalfoundries.com/sites/default/files/media_fact_sheet.pdf">"GLOBALFOUNDRIES v. TSMC et al Media Fact Sheet"</a> <span class="cs1-format">(PDF)</span>. <i>GlobalFoundries</i>. 25 August 2019. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20190828062714/https://www.globalfoundries.com/sites/default/files/media_fact_sheet.pdf">Archived</a> <span class="cs1-format">(PDF)</span> from the original on 28 August 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">28 August</span> 2019</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=GlobalFoundries&amp;rft.atitle=GLOBALFOUNDRIES+v.+TSMC+et+al+Media+Fact+Sheet&amp;rft.date=2019-08-25&amp;rft_id=https%3A%2F%2Fwww.globalfoundries.com%2Fsites%2Fdefault%2Ffiles%2Fmedia_fact_sheet.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-75"><span class="mw-cite-backlink"><b><a href="#cite_ref-75">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.tsmc.com/tsmcdotcom/PRListingNewsAction.do?action=detail&amp;language=E&amp;newsid=THPGSTTHTH">"TSMC Will Vigorously Defend its Proprietary Technology in Response to GlobalFoundries Complaints"</a>. <i>TSMC</i>. 27 August 2017. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20190827210152/https://www.tsmc.com/tsmcdotcom/PRListingNewsAction.do?action=detail&amp;language=E&amp;newsid=THPGSTTHTH">Archived</a> from the original on 27 August 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">28 August</span> 2019</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=TSMC&amp;rft.atitle=TSMC+Will+Vigorously+Defend+its+Proprietary+Technology+in+Response+to+GlobalFoundries+Complaints&amp;rft.date=2017-08-27&amp;rft_id=https%3A%2F%2Fwww.tsmc.com%2Ftsmcdotcom%2FPRListingNewsAction.do%3Faction%3Ddetail%26language%3DE%26newsid%3DTHPGSTTHTH&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-76"><span class="mw-cite-backlink"><b><a href="#cite_ref-76">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.tsmc.com/tsmcdotcom/PRListingNewsAction.do?action=detail&amp;newsid=THGOSTTHTH">"TSMC Files Complaints Against GlobalFoundries in U.S., Germany and Singapore for Infringement of 25 Patents to Affirm its Technology Leadership and to Protect Its Customers and Consumers Worldwide"</a>. <i>TSMC</i>. 1 October 2019. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20191002040547/https://www.tsmc.com/tsmcdotcom/PRListingNewsAction.do%3Faction%3Ddetail%26newsid%3DTHGOSTTHTH">Archived</a> from the original on 2 October 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">2 October</span> 2019</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=TSMC&amp;rft.atitle=TSMC+Files+Complaints+Against+GlobalFoundries+in+U.S.%2C+Germany+and+Singapore+for+Infringement+of+25+Patents+to+Affirm+its+Technology+Leadership+and+to+Protect+Its+Customers+and+Consumers+Worldwide&amp;rft.date=2019-10-01&amp;rft_id=https%3A%2F%2Fwww.tsmc.com%2Ftsmcdotcom%2FPRListingNewsAction.do%3Faction%3Ddetail%26newsid%3DTHGOSTTHTH&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-77"><span class="mw-cite-backlink"><b><a href="#cite_ref-77">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.tsmc.com/tsmcdotcom/PRListingNewsAction.do?action=detail&amp;language=E&amp;newsid=THHKHIPGTH">"TSMC and GLOBALFOUNDRIES Announce Resolution of Global Disputes Through Broad Global Patent Cross-License"</a>. <i>TSMC</i>. 29 October 2019. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20191029014342/https://www.tsmc.com/tsmcdotcom/PRListingNewsAction.do%3Faction%3Ddetail%26language%3DE%26newsid%3DTHHKHIPGTH">Archived</a> from the original on 29 October 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">29 October</span> 2019</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=TSMC&amp;rft.atitle=TSMC+and+GLOBALFOUNDRIES+Announce+Resolution+of+Global+Disputes+Through+Broad+Global+Patent+Cross-License&amp;rft.date=2019-10-29&amp;rft_id=https%3A%2F%2Fwww.tsmc.com%2Ftsmcdotcom%2FPRListingNewsAction.do%3Faction%3Ddetail%26language%3DE%26newsid%3DTHHKHIPGTH&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-78"><span class="mw-cite-backlink"><b><a href="#cite_ref-78">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.globalfoundries.com/press-release/globalfoundries-and-tsmc-announce-resolution-global-disputes-through-broad-global">"GLOBALFOUNDRIES and TSMC Announce Resolution of Global Disputes Through Broad Global Patent Cross-License"</a>. <i>GlobalFoundries</i>. 28 October 2019. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210122162629/https://www.globalfoundries.com/press-release/globalfoundries-and-tsmc-announce-resolution-global-disputes-through-broad-global">Archived</a> from the original on 22 January 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">30 October</span> 2019</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=GlobalFoundries&amp;rft.atitle=GLOBALFOUNDRIES+and+TSMC+Announce+Resolution+of+Global+Disputes+Through+Broad+Global+Patent+Cross-License&amp;rft.date=2019-10-28&amp;rft_id=https%3A%2F%2Fwww.globalfoundries.com%2Fpress-release%2Fglobalfoundries-and-tsmc-announce-resolution-global-disputes-through-broad-global&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-79"><span class="mw-cite-backlink"><b><a href="#cite_ref-79">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFMcGregor2019" class="citation news cs1">McGregor, Jim (11 September 2019). <a rel="nofollow" class="external text" href="https://www.forbes.com/sites/tiriasresearch/2019/09/11/globalfoundries-files-suit-against-tsmc--the-outcome-could-have-broad-consequences/">"Globalfoundries Files Suit Against TSMC – The Outcome Could Have Broad Consequences"</a>. <i>Forbes</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20191219072516/https://www.forbes.com/sites/tiriasresearch/2019/09/11/globalfoundries-files-suit-against-tsmc--the-outcome-could-have-broad-consequences/">Archived</a> from the original on 19 December 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">19 December</span> 2019</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Forbes&amp;rft.atitle=Globalfoundries+Files+Suit+Against+TSMC+%E2%80%93+The+Outcome+Could+Have+Broad+Consequences&amp;rft.date=2019-09-11&amp;rft.aulast=McGregor&amp;rft.aufirst=Jim&amp;rft_id=https%3A%2F%2Fwww.forbes.com%2Fsites%2Ftiriasresearch%2F2019%2F09%2F11%2Fglobalfoundries-files-suit-against-tsmc--the-outcome-could-have-broad-consequences%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-80"><span class="mw-cite-backlink"><b><a href="#cite_ref-80">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://www.reuters.com/article/db-tsmc-globalfoundries-lawsuit-test-idUSKBN1WG3B5">"TSMC counter-sues US chip rival GlobalFoundries for patent infringement"</a>. <i>Reuters</i>. 1 October 2019. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20191219072514/https://www.reuters.com/article/db-tsmc-globalfoundries-lawsuit-test-idUSKBN1WG3B5">Archived</a> from the original on 19 December 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">19 December</span> 2019</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Reuters&amp;rft.atitle=TSMC+counter-sues+US+chip+rival+GlobalFoundries+for+patent+infringement&amp;rft.date=2019-10-01&amp;rft_id=https%3A%2F%2Fwww.reuters.com%2Farticle%2Fdb-tsmc-globalfoundries-lawsuit-test-idUSKBN1WG3B5&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-81"><span class="mw-cite-backlink"><b><a href="#cite_ref-81">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFKwan2019" class="citation news cs1">Kwan, Campbell (2 October 2019). <a rel="nofollow" class="external text" href="https://www.zdnet.com/article/tsmc-accuses-globalfoundries-of-infringing-25-patents-for-node-processes/">"TSMC accuses GlobalFoundries of infringing 25 patents for node processes"</a>. <i>ZDNet</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200309222209/https://www.zdnet.com/article/tsmc-accuses-globalfoundries-of-infringing-25-patents-for-node-processes/">Archived</a> from the original on 9 March 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">4 April</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=ZDNet&amp;rft.atitle=TSMC+accuses+GlobalFoundries+of+infringing+25+patents+for+node+processes&amp;rft.date=2019-10-02&amp;rft.aulast=Kwan&amp;rft.aufirst=Campbell&amp;rft_id=https%3A%2F%2Fwww.zdnet.com%2Farticle%2Ftsmc-accuses-globalfoundries-of-infringing-25-patents-for-node-processes%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-Bloomberg20240604-82"><span class="mw-cite-backlink">^ <a href="#cite_ref-Bloomberg20240604_82-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-Bloomberg20240604_82-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-Bloomberg20240604_82-2"><sup><i><b>c</b></i></sup></a> <a href="#cite_ref-Bloomberg20240604_82-3"><sup><i><b>d</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFLee2024" class="citation web cs1">Lee, Jane (4 June 2024). <a rel="nofollow" class="external text" href="https://www.bloomberg.com/news/articles/2024-06-04/tsmc-s-new-chairman-affirms-hopes-of-ai-fueled-2024-recovery">"TSMC's New Chairman Affirms Hopes of AI-Fueled 2024 Recovery"</a>. <i>Bloomberg</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Bloomberg&amp;rft.atitle=TSMC%27s+New+Chairman+Affirms+Hopes+of+AI-Fueled+2024+Recovery&amp;rft.date=2024-06-04&amp;rft.aulast=Lee&amp;rft.aufirst=Jane&amp;rft_id=https%3A%2F%2Fwww.bloomberg.com%2Fnews%2Farticles%2F2024-06-04%2Ftsmc-s-new-chairman-affirms-hopes-of-ai-fueled-2024-recovery&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-83"><span class="mw-cite-backlink"><b><a href="#cite_ref-83">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.wsj.com/market-data/quotes/TSM/financials/annual/income-statement">"TSMC Income Statement"</a>. <i>WSJ</i><span class="reference-accessdate">. Retrieved <span class="nowrap">2 August</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=WSJ&amp;rft.atitle=TSMC+Income+Statement&amp;rft_id=https%3A%2F%2Fwww.wsj.com%2Fmarket-data%2Fquotes%2FTSM%2Ffinancials%2Fannual%2Fincome-statement&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-84"><span class="mw-cite-backlink"><b><a href="#cite_ref-84">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20200111153620/https://www.wsj.com/market-data/quotes/TSM/financials/annual/income-statement">"TSMC Income Statement (2014-2018) - WSJ"</a>. 11 January 2020. Archived from <a rel="nofollow" class="external text" href="https://www.wsj.com/market-data/quotes/TSM/financials/annual/income-statement">the original</a> on 11 January 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">2 August</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=TSMC+Income+Statement+%282014-2018%29+-+WSJ&amp;rft.date=2020-01-11&amp;rft_id=https%3A%2F%2Fwww.wsj.com%2Fmarket-data%2Fquotes%2FTSM%2Ffinancials%2Fannual%2Fincome-statement&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-85"><span class="mw-cite-backlink"><b><a href="#cite_ref-85">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20240404092653/https://www.wsj.com/market-data/quotes/TSM/financials/annual/income-statement">"TSMC Income Statement (2019-2023) - WSJ"</a>. 4 April 2024. Archived from <a rel="nofollow" class="external text" href="https://www.wsj.com/market-data/quotes/TSM/financials/annual/income-statement">the original</a> on 4 April 2024<span class="reference-accessdate">. Retrieved <span class="nowrap">2 August</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=TSMC+Income+Statement+%282019-2023%29+-+WSJ&amp;rft.date=2024-04-04&amp;rft_id=https%3A%2F%2Fwww.wsj.com%2Fmarket-data%2Fquotes%2FTSM%2Ffinancials%2Fannual%2Fincome-statement&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-86"><span class="mw-cite-backlink"><b><a href="#cite_ref-86">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.macrotrends.net/stocks/charts/TSM/taiwan-semiconductor-manufacturing/number-of-employees">"Taiwan Semiconductor Manufacturing: Number of Employees yearly"</a>. <i>www.macrotrends.net</i><span class="reference-accessdate">. Retrieved <span class="nowrap">3 August</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.macrotrends.net&amp;rft.atitle=Taiwan+Semiconductor+Manufacturing%3A+Number+of+Employees+yearly&amp;rft_id=https%3A%2F%2Fwww.macrotrends.net%2Fstocks%2Fcharts%2FTSM%2Ftaiwan-semiconductor-manufacturing%2Fnumber-of-employees&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-Morningstar_mobile_chips_article-87"><span class="mw-cite-backlink"><b><a href="#cite_ref-Morningstar_mobile_chips_article_87-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20150428143055/http://analysisreport.morningstar.com/stock/research?t=TSM&amp;culture=en-US&amp;cur=USD&amp;productcode=MLE">"Mobile chips are driving strong demand for TSMC's manufacturing services"</a>. Morningstar. 9 May 2014. Archived from <a rel="nofollow" class="external text" href="http://analysisreport.morningstar.com/stock/research?t=TSM&amp;culture=en-US&amp;cur=USD&amp;productcode=MLE">the original</a> on 28 April 2015<span class="reference-accessdate">. Retrieved <span class="nowrap">18 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Mobile+chips+are+driving+strong+demand+for+TSMC%27s+manufacturing+services&amp;rft.pub=Morningstar&amp;rft.date=2014-05-09&amp;rft_id=http%3A%2F%2Fanalysisreport.morningstar.com%2Fstock%2Fresearch%3Ft%3DTSM%26culture%3Den-US%26cur%3DUSD%26productcode%3DMLE&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-EE_Times_Q1_2014_results_article-88"><span class="mw-cite-backlink">^ <a href="#cite_ref-EE_Times_Q1_2014_results_article_88-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-EE_Times_Q1_2014_results_article_88-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.eetimes.com/document.asp?doc_id=1321989">"Smartphones, 28nm Tech Drive TSMC 1Q Revenue"</a>. EE Times. 17 April 2014. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20140523225507/http://www.eetimes.com/document.asp?doc_id=1321989">Archived</a> from the original on 23 May 2014<span class="reference-accessdate">. Retrieved <span class="nowrap">23 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Smartphones%2C+28nm+Tech+Drive+TSMC+1Q+Revenue&amp;rft.pub=EE+Times&amp;rft.date=2014-04-17&amp;rft_id=http%3A%2F%2Fwww.eetimes.com%2Fdocument.asp%3Fdoc_id%3D1321989&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-SimmTester_28nm_article-89"><span class="mw-cite-backlink">^ <a href="#cite_ref-SimmTester_28nm_article_89-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-SimmTester_28nm_article_89-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="http://www.ddrtester.com/page/news/shownews.asp?num=16016">"Qualcomm pending to add SMIC to 28nm vendor list"</a>. SimmTester.com. 14 March 2014. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20140522182615/http://www.ddrtester.com/page/news/shownews.asp?num=16016">Archived</a> from the original on 22 May 2014<span class="reference-accessdate">. Retrieved <span class="nowrap">18 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=Qualcomm+pending+to+add+SMIC+to+28nm+vendor+list&amp;rft.date=2014-03-14&amp;rft_id=http%3A%2F%2Fwww.ddrtester.com%2Fpage%2Fnews%2Fshownews.asp%3Fnum%3D16016&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-prn4-90"><span class="mw-cite-backlink"><b><a href="#cite_ref-prn4_90-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.prnewswire.com/news-releases/qualcomm-snapdragon-800-processor-first-to-use-tsmcs-28hpm-advanced-process-technology-192959191.html">"Qualcomm Snapdragon 800 Processor First to Use TSMC's 28HPM Advanced Process Technology"</a>. TSMC. 25 February 2013<span class="reference-accessdate">. Retrieved <span class="nowrap">18 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Qualcomm+Snapdragon+800+Processor+First+to+Use+TSMC%27s+28HPM+Advanced+Process+Technology&amp;rft.pub=TSMC&amp;rft.date=2013-02-25&amp;rft_id=http%3A%2F%2Fwww.prnewswire.com%2Fnews-releases%2Fqualcomm-snapdragon-800-processor-first-to-use-tsmcs-28hpm-advanced-process-technology-192959191.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-TSMC_banking_on_28nm-91"><span class="mw-cite-backlink">^ <a href="#cite_ref-TSMC_banking_on_28nm_91-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-TSMC_banking_on_28nm_91-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFWang2013" class="citation news cs1">Wang, Lisa (6 June 2013). <a rel="nofollow" class="external text" href="http://www.taipeitimes.com/News/biz/archives/2013/06/06/2003564057">"TSMC banking on 28nm shipments"</a>. <i>Taipei Times</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20140522175211/http://www.taipeitimes.com/News/biz/archives/2013/06/06/2003564057">Archived</a> from the original on 22 May 2014<span class="reference-accessdate">. Retrieved <span class="nowrap">18 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Taipei+Times&amp;rft.atitle=TSMC+banking+on+28nm+shipments&amp;rft.date=2013-06-06&amp;rft.aulast=Wang&amp;rft.aufirst=Lisa&amp;rft_id=http%3A%2F%2Fwww.taipeitimes.com%2FNews%2Fbiz%2Farchives%2F2013%2F06%2F06%2F2003564057&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-DigiTimes_MediaTek_GlobalFoundries-92"><span class="mw-cite-backlink">^ <a href="#cite_ref-DigiTimes_MediaTek_GlobalFoundries_92-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-DigiTimes_MediaTek_GlobalFoundries_92-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFJosephineShen2014" class="citation news cs1">Josephine, Lien; Shen, Steve (6 May 2014). <span class="id-lock-subscription" title="Paid subscription required"><a rel="nofollow" class="external text" href="http://www.digitimes.com/news/a20140506PD212.html">"MediaTek adding more 28nm chip orders at TSMC, UMC due to production defects at Globalfoundries"</a></span>. <i>DigiTimes</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200820135731/http://www.digitimes.com/news/a20140506PD212.html">Archived</a> from the original on 20 August 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">18 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=DigiTimes&amp;rft.atitle=MediaTek+adding+more+28nm+chip+orders+at+TSMC%2C+UMC+due+to+production+defects+at+Globalfoundries&amp;rft.date=2014-05-06&amp;rft.aulast=Josephine&amp;rft.aufirst=Lien&amp;rft.au=Shen%2C+Steve&amp;rft_id=http%3A%2F%2Fwww.digitimes.com%2Fnews%2Fa20140506PD212.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-93"><span class="mw-cite-backlink"><b><a href="#cite_ref-93">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://pr.tsmc.com/english/news/1804">"TSMC Updates 1Q'14 Guidance"</a>. TSMC. 12 March 2014. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210417120955/https://pr.tsmc.com/english/news/1804">Archived</a> from the original on 17 April 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">18 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=TSMC+Updates+1Q%2714+Guidance&amp;rft.pub=TSMC&amp;rft.date=2014-03-12&amp;rft_id=https%3A%2F%2Fpr.tsmc.com%2Fenglish%2Fnews%2F1804&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-94"><span class="mw-cite-backlink"><b><a href="#cite_ref-94">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://pr.tsmc.com/english/news/1818">"TSMC Reports Second Quarter EPS of NT$2.30"</a>. TSMC. 16 July 2014. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210417120958/https://pr.tsmc.com/english/news/1818">Archived</a> from the original on 17 April 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">16 August</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=TSMC+Reports+Second+Quarter+EPS+of+NT%242.30&amp;rft.pub=TSMC&amp;rft.date=2014-07-16&amp;rft_id=https%3A%2F%2Fpr.tsmc.com%2Fenglish%2Fnews%2F1818&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-95"><span class="mw-cite-backlink"><b><a href="#cite_ref-95">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFCageShen2014" class="citation news cs1">Cage, Chao; Shen, Steve (9 May 2014). <span class="id-lock-subscription" title="Paid subscription required"><a rel="nofollow" class="external text" href="http://www.digitimes.com/news/a20140509PD207.html">"TSMC extends 28 nm production lead time to 16 weeks"</a></span>. <i>DigiTimes</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20140512063338/http://www.digitimes.com/news/a20140509PD207.html">Archived</a> from the original on 12 May 2014<span class="reference-accessdate">. Retrieved <span class="nowrap">17 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=DigiTimes&amp;rft.atitle=TSMC+extends+28+nm+production+lead+time+to+16+weeks&amp;rft.date=2014-05-09&amp;rft.aulast=Cage&amp;rft.aufirst=Chao&amp;rft.au=Shen%2C+Steve&amp;rft_id=http%3A%2F%2Fwww.digitimes.com%2Fnews%2Fa20140509PD207.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-96"><span class="mw-cite-backlink"><b><a href="#cite_ref-96">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFCageShen2014" class="citation news cs1">Cage, Chao; Shen, Steve (14 August 2014). <span class="id-lock-subscription" title="Paid subscription required"><a rel="nofollow" class="external text" href="http://www.digitimes.com/news/a20140814PD214.html">"TSMC 4Q14 production capacity almost fully booked"</a></span>. DigiTimes. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20140818090505/http://www.digitimes.com/news/a20140814PD214.html">Archived</a> from the original on 18 August 2014<span class="reference-accessdate">. Retrieved <span class="nowrap">16 August</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=TSMC+4Q14+production+capacity+almost+fully+booked&amp;rft.date=2014-08-14&amp;rft.aulast=Cage&amp;rft.aufirst=Chao&amp;rft.au=Shen%2C+Steve&amp;rft_id=http%3A%2F%2Fwww.digitimes.com%2Fnews%2Fa20140814PD214.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-Taipei_Times_2014-12-11-97"><span class="mw-cite-backlink">^ <a href="#cite_ref-Taipei_Times_2014-12-11_97-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-Taipei_Times_2014-12-11_97-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFWang2014" class="citation news cs1">Wang, Lisa (11 December 2014). <a rel="nofollow" class="external text" href="http://www.taipeitimes.com/News/biz/archives/2014/12/11/2003606440">"TSMC revenue shrinks as clients adjust inventories"</a>. <i>Taipei Times</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20150106030233/http://www.taipeitimes.com/News/biz/archives/2014/12/11/2003606440">Archived</a> from the original on 6 January 2015<span class="reference-accessdate">. Retrieved <span class="nowrap">6 January</span> 2015</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Taipei+Times&amp;rft.atitle=TSMC+revenue+shrinks+as+clients+adjust+inventories&amp;rft.date=2014-12-11&amp;rft.aulast=Wang&amp;rft.aufirst=Lisa&amp;rft_id=http%3A%2F%2Fwww.taipeitimes.com%2FNews%2Fbiz%2Farchives%2F2014%2F12%2F11%2F2003606440&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-ft1-98"><span class="mw-cite-backlink"><b><a href="#cite_ref-ft1_98-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFFeng2019" class="citation news cs1">Feng, Emily (29 January 2019). <a rel="nofollow" class="external text" href="https://www.ft.com/content/7cfb2f82-1ecc-11e9-b126-46fc3ad87c65">"How China acquired mastery of vital microchip technology"</a>. <i>Financial Times</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Financial+Times&amp;rft.atitle=How+China+acquired+mastery+of+vital+microchip+technology&amp;rft.date=2019-01-29&amp;rft.aulast=Feng&amp;rft.aufirst=Emily&amp;rft_id=https%3A%2F%2Fwww.ft.com%2Fcontent%2F7cfb2f82-1ecc-11e9-b126-46fc3ad87c65&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-een2-99"><span class="mw-cite-backlink"><b><a href="#cite_ref-een2_99-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://www.eenewseurope.com/en/chinas-silex-microsystems-remains-top-mems-foundry/">"China's Silex Microsystems remains top MEMS foundry"</a>. 6 September 2022.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=China%27s+Silex+Microsystems+remains+top+MEMS+foundry&amp;rft.date=2022-09-06&amp;rft_id=https%3A%2F%2Fwww.eenewseurope.com%2Fen%2Fchinas-silex-microsystems-remains-top-mems-foundry%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-100"><span class="mw-cite-backlink"><b><a href="#cite_ref-100">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://simplywall.st/stocks/us/semiconductors/nyse-tsm/taiwan-semiconductor-manufacturing/ownership">"Taiwan Semiconductor Manufacturing Company Limited Insider Trading &amp; Ownership Structure"</a>. <i>Simply Wall St</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20240308175818/https://simplywall.st/stocks/us/semiconductors/nyse-tsm/taiwan-semiconductor-manufacturing/ownership">Archived</a> from the original on 8 March 2024<span class="reference-accessdate">. Retrieved <span class="nowrap">8 March</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Simply+Wall+St&amp;rft.atitle=Taiwan+Semiconductor+Manufacturing+Company+Limited+Insider+Trading+%26+Ownership+Structure&amp;rft_id=https%3A%2F%2Fsimplywall.st%2Fstocks%2Fus%2Fsemiconductors%2Fnyse-tsm%2Ftaiwan-semiconductor-manufacturing%2Fownership&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-101"><span class="mw-cite-backlink"><b><a href="#cite_ref-101">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFHalfacree2019" class="citation web cs1">Halfacree, Gareth (8 October 2019). <a rel="nofollow" class="external text" href="https://bit-tech.net/news/tsmcs-euv-n7-node-hits-volume-production/1/">"TSMC's EUV N7+ node hits volume production"</a>. <i>bit-tech</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200709153521/https://bit-tech.net/news/tsmcs-euv-n7-node-hits-volume-production/1/">Archived</a> from the original on 9 July 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">9 July</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=bit-tech&amp;rft.atitle=TSMC%27s+EUV+N7%2B+node+hits+volume+production&amp;rft.date=2019-10-08&amp;rft.aulast=Halfacree&amp;rft.aufirst=Gareth&amp;rft_id=https%3A%2F%2Fbit-tech.net%2Fnews%2Ftsmcs-euv-n7-node-hits-volume-production%2F1%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-102"><span class="mw-cite-backlink"><b><a href="#cite_ref-102">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFShilov" class="citation web cs1">Shilov, Anton. <a rel="nofollow" class="external text" href="https://www.anandtech.com/show/14954/tsmc-n7-euv-process-technology-in-hvm-n6-on-track">"TSMC: N7+ EUV Process Technology in High Volume, 6nm (N6) Coming Soon"</a>. <i>AnandTech</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200808001956/https://www.anandtech.com/show/14954/tsmc-n7-euv-process-technology-in-hvm-n6-on-track">Archived</a> from the original on 8 August 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">9 July</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=AnandTech&amp;rft.atitle=TSMC%3A+N7%2B+EUV+Process+Technology+in+High+Volume%2C+6nm+%28N6%29+Coming+Soon&amp;rft.aulast=Shilov&amp;rft.aufirst=Anton&amp;rft_id=https%3A%2F%2Fwww.anandtech.com%2Fshow%2F14954%2Ftsmc-n7-euv-process-technology-in-hvm-n6-on-track&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-103"><span class="mw-cite-backlink"><b><a href="#cite_ref-103">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFVerheyde2019" class="citation web cs1">Verheyde, Arne (7 October 2019). <a rel="nofollow" class="external text" href="https://www.tomshardware.com/news/tsmc-amd-euv-n7-7nm-process,40575.html">"TSMC Starts Shipping EUV N7+ Chips, AMD Among Likely Customers"</a>. <i>Tom's Hardware</i><span class="reference-accessdate">. Retrieved <span class="nowrap">9 July</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Tom%27s+Hardware&amp;rft.atitle=TSMC+Starts+Shipping+EUV+N7%2B+Chips%2C+AMD+Among+Likely+Customers&amp;rft.date=2019-10-07&amp;rft.aulast=Verheyde&amp;rft.aufirst=Arne&amp;rft_id=https%3A%2F%2Fwww.tomshardware.com%2Fnews%2Ftsmc-amd-euv-n7-7nm-process%2C40575.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-104"><span class="mw-cite-backlink"><b><a href="#cite_ref-104">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://community.cadence.com/cadence_blogs_8/b/breakfast-bytes/posts/tsmc-technology-symposium-report">"TSMC Technology Roadmap"</a>. <i>community.cadence.com</i>. 26 April 2019. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200711090201/https://community.cadence.com/cadence_blogs_8/b/breakfast-bytes/posts/tsmc-technology-symposium-report">Archived</a> from the original on 11 July 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">9 July</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=community.cadence.com&amp;rft.atitle=TSMC+Technology+Roadmap&amp;rft.date=2019-04-26&amp;rft_id=https%3A%2F%2Fcommunity.cadence.com%2Fcadence_blogs_8%2Fb%2Fbreakfast-bytes%2Fposts%2Ftsmc-technology-symposium-report&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-105"><span class="mw-cite-backlink"><b><a href="#cite_ref-105">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFZafar2019" class="citation web cs1">Zafar, Ramish (23 October 2019). <a rel="nofollow" class="external text" href="https://wccftech.com/tsmc-7nm-euv-yield-alleged/">"TSMC's N7+ EUV Yield Dropped Below 70% Claims Report"</a>. <i>Wccftech</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200710233538/https://wccftech.com/tsmc-7nm-euv-yield-alleged/">Archived</a> from the original on 10 July 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">9 July</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Wccftech&amp;rft.atitle=TSMC%27s+N7%2B+EUV+Yield+Dropped+Below+70%25+Claims+Report&amp;rft.date=2019-10-23&amp;rft.aulast=Zafar&amp;rft.aufirst=Ramish&amp;rft_id=https%3A%2F%2Fwccftech.com%2Ftsmc-7nm-euv-yield-alleged%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-106"><span class="mw-cite-backlink"><b><a href="#cite_ref-106">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFHamilton2019" class="citation web cs1">Hamilton, Eric (8 October 2019). <a rel="nofollow" class="external text" href="https://www.techspot.com/news/82246-tsmc-enters-high-volume-production-n7-euv-process.html">"TSMC enters high volume production with N7+ EUV process"</a>. <i>TechSpot</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200709083427/https://www.techspot.com/news/82246-tsmc-enters-high-volume-production-n7-euv-process.html">Archived</a> from the original on 9 July 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">9 July</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=TechSpot&amp;rft.atitle=TSMC+enters+high+volume+production+with+N7%2B+EUV+process&amp;rft.date=2019-10-08&amp;rft.aulast=Hamilton&amp;rft.aufirst=Eric&amp;rft_id=https%3A%2F%2Fwww.techspot.com%2Fnews%2F82246-tsmc-enters-high-volume-production-n7-euv-process.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-107"><span class="mw-cite-backlink"><b><a href="#cite_ref-107">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.tsmc.com/english/dedicatedFoundry/technology/40nm.htm">"40nm Technology"</a>. <i>TSMC</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20190404182227/https://www.tsmc.com/english/dedicatedFoundry/technology/40nm.htm">Archived</a> from the original on 4 April 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">21 April</span> 2019</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=TSMC&amp;rft.atitle=40nm+Technology&amp;rft_id=https%3A%2F%2Fwww.tsmc.com%2Fenglish%2FdedicatedFoundry%2Ftechnology%2F40nm.htm&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-108"><span class="mw-cite-backlink"><b><a href="#cite_ref-108">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFNenni2015" class="citation web cs1">Nenni, Daniel (4 November 2015). <a rel="nofollow" class="external text" href="https://www.semiwiki.com/forum/content/4530-tsmc-unleashes-aggressive-28nm-strategy.html">"TSMC Unleashes Aggressive 28nm Strategy!"</a>. <i>SemiWiki.com</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20170324091114/https://www.semiwiki.com/forum/content/4530-tsmc-unleashes-aggressive-28nm-strategy.html">Archived</a> from the original on 24 March 2017<span class="reference-accessdate">. Retrieved <span class="nowrap">16 January</span> 2017</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=SemiWiki.com&amp;rft.atitle=TSMC+Unleashes+Aggressive+28nm+Strategy%21&amp;rft.date=2015-11-04&amp;rft.aulast=Nenni&amp;rft.aufirst=Daniel&amp;rft_id=https%3A%2F%2Fwww.semiwiki.com%2Fforum%2Fcontent%2F4530-tsmc-unleashes-aggressive-28nm-strategy.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-109"><span class="mw-cite-backlink"><b><a href="#cite_ref-109">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.tsmc.com/english/dedicatedFoundry/technology/22nm.htm">"22nm Technology"</a>. <i>TSMC</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20190404182228/https://www.tsmc.com/english/dedicatedFoundry/technology/22nm.htm">Archived</a> from the original on 4 April 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">21 April</span> 2019</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=TSMC&amp;rft.atitle=22nm+Technology&amp;rft_id=https%3A%2F%2Fwww.tsmc.com%2Fenglish%2FdedicatedFoundry%2Ftechnology%2F22nm.htm&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-110"><span class="mw-cite-backlink"><b><a href="#cite_ref-110">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.tsmc.com/english/dedicatedFoundry/technology/20nm.htm">"20nm Technology"</a>. <i>TSMC</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20190404182227/https://www.tsmc.com/english/dedicatedFoundry/technology/20nm.htm">Archived</a> from the original on 4 April 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">21 April</span> 2019</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=TSMC&amp;rft.atitle=20nm+Technology&amp;rft_id=https%3A%2F%2Fwww.tsmc.com%2Fenglish%2FdedicatedFoundry%2Ftechnology%2F20nm.htm&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-111"><span class="mw-cite-backlink"><b><a href="#cite_ref-111">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.tsmc.com/english/dedicatedFoundry/technology/16nm.htm">"16nm Technology"</a>. <i>TSMC</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20190710070706/https://www.tsmc.com/english/dedicatedFoundry/technology/16nm.htm">Archived</a> from the original on 10 July 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">21 April</span> 2019</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=TSMC&amp;rft.atitle=16nm+Technology&amp;rft_id=https%3A%2F%2Fwww.tsmc.com%2Fenglish%2FdedicatedFoundry%2Ftechnology%2F16nm.htm&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-112"><span class="mw-cite-backlink"><b><a href="#cite_ref-112">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.eenewsanalog.com/news/report-tsmc-relabel-process-12nm">"Report: TSMC to relabel process as 12nm"</a>. <i>eeNews Analog</i>. 29 November 2016. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20190421224658/https://www.eenewsanalog.com/news/report-tsmc-relabel-process-12nm">Archived</a> from the original on 21 April 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">21 April</span> 2019</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=eeNews+Analog&amp;rft.atitle=Report%3A+TSMC+to+relabel+process+as+12nm&amp;rft.date=2016-11-29&amp;rft_id=https%3A%2F%2Fwww.eenewsanalog.com%2Fnews%2Freport-tsmc-relabel-process-12nm&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-113"><span class="mw-cite-backlink"><b><a href="#cite_ref-113">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.tsmc.com/english/dedicatedFoundry/technology/10nm.htm">"10nm Technology"</a>. <i>TSMC</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20190404182219/https://www.tsmc.com/english/dedicatedFoundry/technology/10nm.htm">Archived</a> from the original on 4 April 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">21 April</span> 2019</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=TSMC&amp;rft.atitle=10nm+Technology&amp;rft_id=https%3A%2F%2Fwww.tsmc.com%2Fenglish%2FdedicatedFoundry%2Ftechnology%2F10nm.htm&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-114"><span class="mw-cite-backlink"><b><a href="#cite_ref-114">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.tsmc.com/english/dedicatedFoundry/technology/7nm.htm">"7nm Technology"</a>. <i>TSMC</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20190609143522/https://www.tsmc.com/english/dedicatedFoundry/technology/7nm.htm">Archived</a> from the original on 9 June 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">21 April</span> 2019</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=TSMC&amp;rft.atitle=7nm+Technology&amp;rft_id=https%3A%2F%2Fwww.tsmc.com%2Fenglish%2FdedicatedFoundry%2Ftechnology%2F7nm.htm&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-PressRelease20190416-115"><span class="mw-cite-backlink">^ <a href="#cite_ref-PressRelease20190416_115-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-PressRelease20190416_115-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.tsmc.com/uploadfile/pr/newspdf/THWQWQTHTH/NEWS_FILE_EN.pdf">"TSMCUnveils 6-nanometer Process"</a> <span class="cs1-format">(PDF)</span>. <i>TSMC</i>. 16 April 2019. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20190417144858/https://www.tsmc.com/uploadfile/pr/newspdf/THWQWQTHTH/NEWS_FILE_EN.pdf">Archived</a> <span class="cs1-format">(PDF)</span> from the original on 17 April 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">16 April</span> 2019</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=TSMC&amp;rft.atitle=TSMCUnveils+6-nanometer+Process&amp;rft.date=2019-04-16&amp;rft_id=https%3A%2F%2Fwww.tsmc.com%2Fuploadfile%2Fpr%2Fnewspdf%2FTHWQWQTHTH%2FNEWS_FILE_EN.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-116"><span class="mw-cite-backlink"><b><a href="#cite_ref-116">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.tomshardware.com/news/tsmc-kicks-off-3nm-production">"TSMC Kicks Off 3nm Production: A Long Node to Power Leading Chips"</a>. <i>www.tomshardware.com</i>. 30 December 2022. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20231129151111/https://www.tomshardware.com/news/tsmc-kicks-off-3nm-production">Archived</a> from the original on 29 November 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">5 December</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.tomshardware.com&amp;rft.atitle=TSMC+Kicks+Off+3nm+Production%3A+A+Long+Node+to+Power+Leading+Chips&amp;rft.date=2022-12-30&amp;rft_id=https%3A%2F%2Fwww.tomshardware.com%2Fnews%2Ftsmc-kicks-off-3nm-production&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-117"><span class="mw-cite-backlink"><b><a href="#cite_ref-117">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20161211053506/http://www.tsmc.com/english/dedicatedFoundry/technology/advanced_12inch_technology.htm">"Advanced 12-inch Technology"</a>. <i>TSMC</i>. Archived from <a rel="nofollow" class="external text" href="http://www.tsmc.com/english/dedicatedFoundry/technology/advanced_12inch_technology.htm">the original</a> on 11 December 2016<span class="reference-accessdate">. Retrieved <span class="nowrap">16 January</span> 2017</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=TSMC&amp;rft.atitle=Advanced+12-inch+Technology&amp;rft_id=http%3A%2F%2Fwww.tsmc.com%2Fenglish%2FdedicatedFoundry%2Ftechnology%2Fadvanced_12inch_technology.htm&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-118"><span class="mw-cite-backlink"><b><a href="#cite_ref-118">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFMarc2020" class="citation news cs1">Marc, Gurman (9 June 2020). <a rel="nofollow" class="external text" href="https://news.google.com/articles/CAIiEMmSL5JFXu8OljLTwK_sHXwqGQgEKhAIACoHCAow4uzwCjCF3bsCMIrOrwM">"Apple Plans to Announce Move to Its Own Mac Chips at WWDC"</a>. Bloomberg L.P. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20240620132515/https://news.google.com/articles/CAIiEMmSL5JFXu8OljLTwK_sHXwqGQgEKhAIACoHCAow4uzwCjCF3bsCMIrOrwM?hl=en-US&amp;gl=US&amp;ceid=US:en">Archived</a> from the original on 20 June 2024<span class="reference-accessdate">. Retrieved <span class="nowrap">10 June</span> 2020</span> &#8211; via Google News.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=Apple+Plans+to+Announce+Move+to+Its+Own+Mac+Chips+at+WWDC&amp;rft.date=2020-06-09&amp;rft.aulast=Marc&amp;rft.aufirst=Gurman&amp;rft_id=https%3A%2F%2Fnews.google.com%2Farticles%2FCAIiEMmSL5JFXu8OljLTwK_sHXwqGQgEKhAIACoHCAow4uzwCjCF3bsCMIrOrwM&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-119"><span class="mw-cite-backlink"><b><a href="#cite_ref-119">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFStrong2020" class="citation news cs1">Strong, Matthew (8 July 2020). <a rel="nofollow" class="external text" href="https://www.taiwannews.com.tw/en/news/3962736">"Taiwan chip giant TSMC places world's largest wind power order with Ørsted"</a>. <i>Taiwan News</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20200710194936/https://www.taiwannews.com.tw/en/news/3962736">Archived</a> from the original on 10 July 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">8 July</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Taiwan+News&amp;rft.atitle=Taiwan+chip+giant+TSMC+places+world%27s+largest+wind+power+order+with+%C3%98rsted&amp;rft.date=2020-07-08&amp;rft.aulast=Strong&amp;rft.aufirst=Matthew&amp;rft_id=https%3A%2F%2Fwww.taiwannews.com.tw%2Fen%2Fnews%2F3962736&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-120"><span class="mw-cite-backlink"><b><a href="#cite_ref-120">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFTing-Fang2021" class="citation news cs1">Ting-Fang, Cheng (2 July 2021). <a rel="nofollow" class="external text" href="https://asia.nikkei.com/Business/Tech/Semiconductors/Apple-and-Intel-become-first-to-adopt-TSMC-s-latest-chip-tech">"Apple and Intel become first to adopt TSMC's latest chip tech"</a>. <i>Nikkei Asia</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210723012923/https://asia.nikkei.com/Business/Tech/Semiconductors/Apple-and-Intel-become-first-to-adopt-TSMC-s-latest-chip-tech">Archived</a> from the original on 23 July 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">23 July</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Nikkei+Asia&amp;rft.atitle=Apple+and+Intel+become+first+to+adopt+TSMC%27s+latest+chip+tech&amp;rft.date=2021-07-02&amp;rft.aulast=Ting-Fang&amp;rft.aufirst=Cheng&amp;rft_id=https%3A%2F%2Fasia.nikkei.com%2FBusiness%2FTech%2FSemiconductors%2FApple-and-Intel-become-first-to-adopt-TSMC-s-latest-chip-tech&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-121"><span class="mw-cite-backlink"><b><a href="#cite_ref-121">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://www.reuters.com/article/idUSTOE66F03G20100716">"UPDATE 1-TSMC says plans $9.4 bln Taiwan plant"</a>. Reuters. 16 July 2010. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20191217040136/https://www.reuters.com/article/tsmc/update-1-tsmc-says-plans-9-4-bln-taiwan-plant-idUSTOE66F03G20100716">Archived</a> from the original on 17 December 2019<span class="reference-accessdate">. Retrieved <span class="nowrap">16 July</span> 2010</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=UPDATE+1-TSMC+says+plans+%249.4+bln+Taiwan+plant&amp;rft.date=2010-07-16&amp;rft_id=https%3A%2F%2Fwww.reuters.com%2Farticle%2FidUSTOE66F03G20100716&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-122"><span class="mw-cite-backlink"><b><a href="#cite_ref-122">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFClendenin2010" class="citation web cs1">Clendenin, Mike (21 July 2010). <a rel="nofollow" class="external text" href="https://informationweek.com/mobile/analyst-warns-of-semiconductor-monopoly/d/d-id/1090953">"Analyst Warns Of Semiconductor Monopoly"</a>. InformationWeek. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210417120955/https://informationweek.com/mobile/analyst-warns-of-semiconductor-monopoly/d/d-id/1090953">Archived</a> from the original on 17 April 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">17 April</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Analyst+Warns+Of+Semiconductor+Monopoly&amp;rft.pub=InformationWeek&amp;rft.date=2010-07-21&amp;rft.aulast=Clendenin&amp;rft.aufirst=Mike&amp;rft_id=https%3A%2F%2Finformationweek.com%2Fmobile%2Fanalyst-warns-of-semiconductor-monopoly%2Fd%2Fd-id%2F1090953&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-123"><span class="mw-cite-backlink"><b><a href="#cite_ref-123">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="http://fudzilla.com/home/item/29751-tsmc-increases-28nm-output">"TSMC increases 28&#160;nm output"</a>. fudzilla. 7 December 2012. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20140522184345/http://fudzilla.com/home/item/29751-tsmc-increases-28nm-output">Archived</a> from the original on 22 May 2014<span class="reference-accessdate">. Retrieved <span class="nowrap">19 May</span> 2014</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=TSMC+increases+28+nm+output&amp;rft.date=2012-12-07&amp;rft_id=http%3A%2F%2Ffudzilla.com%2Fhome%2Fitem%2F29751-tsmc-increases-28nm-output&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-124"><span class="mw-cite-backlink"><b><a href="#cite_ref-124">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20110724033015/http://news.cens.com/cens/html/en/news/news_inner_35080.html">"TSMC Acquires PSC Land for New Fab Construction"</a>. Taiwan Economic News. 13 January 2011. Archived from <a rel="nofollow" class="external text" href="http://news.cens.com/cens/html/en/news/news_inner_35080.html">the original</a> on 24 July 2011<span class="reference-accessdate">. Retrieved <span class="nowrap">13 January</span> 2011</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=TSMC+Acquires+PSC+Land+for+New+Fab+Construction&amp;rft.date=2011-01-13&amp;rft_id=http%3A%2F%2Fnews.cens.com%2Fcens%2Fhtml%2Fen%2Fnews%2Fnews_inner_35080.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-WSJ20200515-125"><span class="mw-cite-backlink">^ <a href="#cite_ref-WSJ20200515_125-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-WSJ20200515_125-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFGallagher2020" class="citation news cs1">Gallagher, Dan (15 May 2020). <a rel="nofollow" class="external text" href="https://www.wsj.com/articles/what-a-small-chip-fab-really-buys-11589566586">"What a Small Chip Fab Really Buys"</a>. <i><a href="/wiki/The_Wall_Street_Journal" title="The Wall Street Journal">The Wall Street Journal</a></i>. <a href="/wiki/ISSN_(identifier)" class="mw-redirect" title="ISSN (identifier)">ISSN</a>&#160;<a rel="nofollow" class="external text" href="https://search.worldcat.org/issn/0099-9660">0099-9660</a>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20201206082943/https://www.wsj.com/articles/what-a-small-chip-fab-really-buys-11589566586">Archived</a> from the original on 6 December 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">27 November</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=The+Wall+Street+Journal&amp;rft.atitle=What+a+Small+Chip+Fab+Really+Buys&amp;rft.date=2020-05-15&amp;rft.issn=0099-9660&amp;rft.aulast=Gallagher&amp;rft.aufirst=Dan&amp;rft_id=https%3A%2F%2Fwww.wsj.com%2Farticles%2Fwhat-a-small-chip-fab-really-buys-11589566586&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-anandtech.com-126"><span class="mw-cite-backlink"><b><a href="#cite_ref-anandtech.com_126-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFSmith2020" class="citation news cs1">Smith, Ryan (15 May 2020). <a rel="nofollow" class="external text" href="https://www.anandtech.com/show/15803/tsmc-build-5nm-fab-in-arizona-for-2024">"TSMC To Build 5nm Fab In Arizona, Set To Come Online In 2024"</a>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20201020184623/https://www.anandtech.com/show/15803/tsmc-build-5nm-fab-in-arizona-for-2024">Archived</a> from the original on 20 October 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">18 October</span> 2020</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=TSMC+To+Build+5nm+Fab+In+Arizona%2C+Set+To+Come+Online+In+2024&amp;rft.date=2020-05-15&amp;rft.aulast=Smith&amp;rft.aufirst=Ryan&amp;rft_id=https%3A%2F%2Fwww.anandtech.com%2Fshow%2F15803%2Ftsmc-build-5nm-fab-in-arizona-for-2024&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-127"><span class="mw-cite-backlink"><b><a href="#cite_ref-127">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFChang2020" class="citation news cs1">Chang, Eric (24 December 2020). <a rel="nofollow" class="external text" href="https://www.taiwannews.com.tw/en/news/4085851">"Taiwan's TSMC begins hiring push for $12 billion Arizona facility"</a>. <i>Taiwan News</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210128160706/https://www.taiwannews.com.tw/en/news/4085851">Archived</a> from the original on 28 January 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">27 February</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Taiwan+News&amp;rft.atitle=Taiwan%27s+TSMC+begins+hiring+push+for+%2412+billion+Arizona+facility&amp;rft.date=2020-12-24&amp;rft.aulast=Chang&amp;rft.aufirst=Eric&amp;rft_id=https%3A%2F%2Fwww.taiwannews.com.tw%2Fen%2Fnews%2F4085851&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-128"><span class="mw-cite-backlink"><b><a href="#cite_ref-128">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://www.bbc.com/news/business-63883047">"iPhone chip-maker TSMC invests $40bn in Arizona plants"</a>. BBC News. 7 December 2022<span class="reference-accessdate">. Retrieved <span class="nowrap">7 December</span> 2022</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=iPhone+chip-maker+TSMC+invests+%2440bn+in+Arizona+plants&amp;rft.date=2022-12-07&amp;rft_id=https%3A%2F%2Fwww.bbc.com%2Fnews%2Fbusiness-63883047&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-WSJ_2022-12-05-129"><span class="mw-cite-backlink"><b><a href="#cite_ref-WSJ_2022-12-05_129-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFJie2022" class="citation news cs1">Jie, Yang (5 December 2022). <a rel="nofollow" class="external text" href="https://www.wsj.com/articles/tsmcs-arizona-chip-plant-awaiting-biden-visit-faces-birthing-pains-11670236129?mod=Searchresults_pos2&amp;page=1">"TSMC's Arizona Chip Plant, Awaiting Biden Visit, Faces Birthing Pains – Taiwanese company cites high costs and shortage of skilled personnel as it pushes to open $12 billion factory next year"</a>. <i><a href="/wiki/Wall_Street_Journal" class="mw-redirect" title="Wall Street Journal">Wall Street Journal</a></i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20230303023453/https://www.wsj.com/articles/tsmcs-arizona-chip-plant-awaiting-biden-visit-faces-birthing-pains-11670236129?mod=Searchresults_pos2&amp;page=1">Archived</a> from the original on 3 March 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">4 March</span> 2023</span>. <q>Mr. Chang said the cost of making chips in Arizona may be at least 50 percent higher than in Taiwan.</q></cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Wall+Street+Journal&amp;rft.atitle=TSMC%27s+Arizona+Chip+Plant%2C+Awaiting+Biden+Visit%2C+Faces+Birthing+Pains+%E2%80%93+Taiwanese+company+cites+high+costs+and+shortage+of+skilled+personnel+as+it+pushes+to+open+%2412+billion+factory+next+year&amp;rft.date=2022-12-05&amp;rft.aulast=Jie&amp;rft.aufirst=Yang&amp;rft_id=https%3A%2F%2Fwww.wsj.com%2Farticles%2Ftsmcs-arizona-chip-plant-awaiting-biden-visit-faces-birthing-pains-11670236129%3Fmod%3DSearchresults_pos2%26page%3D1&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-Noah_SS-130"><span class="mw-cite-backlink"><b><a href="#cite_ref-Noah_SS_130-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFSmith2023" class="citation web cs1"><a href="/wiki/Noah_Smith_(writer)" title="Noah Smith (writer)">Smith, Noah</a> (7 February 2023). <a rel="nofollow" class="external text" href="https://noahpinion.substack.com/p/the-build-nothing-country?sd=pf">"The Build-Nothing Country – Stasis has become America's spoils system, and it can't go on"</a>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20230303023452/https://noahpinion.substack.com/p/the-build-nothing-country?sd=pf">Archived</a> from the original on 3 March 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">4 March</span> 2023</span>. <q>Even in semiconductors, the ultra-high-tech industry where the U.S. and its allies must maintain leadership in order to maintain their edge over China, the U.S. can't seem to build much. TSMC, the Taiwanese company that recently agreed to build a big plant in Arizona, is running into major cost issues:</q></cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=The+Build-Nothing+Country+%E2%80%93+Stasis+has+become+America%27s+spoils+system%2C+and+it+can%27t+go+on.&amp;rft.date=2023-02-07&amp;rft.aulast=Smith&amp;rft.aufirst=Noah&amp;rft_id=https%3A%2F%2Fnoahpinion.substack.com%2Fp%2Fthe-build-nothing-country%3Fsd%3Dpf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-131"><span class="mw-cite-backlink"><b><a href="#cite_ref-131">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFkevinsxu2023" class="citation web cs1">@kevinsxu (14 January 2023). <a rel="nofollow" class="external text" href="https://x.com/kevinsxu/status/1614367722921418752">"We're not able to share with you a specific cost gap number between Taiwan and US, but we can share with you that the major reason for the cost gap is the construction cost of building and facilities, which can be 4 to 5x greater for US fab versus a fab in Taiwan"</a> (<a href="/wiki/Tweet_(social_media)" title="Tweet (social media)">Tweet</a>)<span class="reference-accessdate">. Retrieved <span class="nowrap">2 March</span> 2023</span> &#8211; via <a href="/wiki/Twitter" title="Twitter">Twitter</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=We%27re+not+able+to+share+with+you+a+specific+cost+gap+number+between+Taiwan+and+US%2C+but+we+can+share+with+you+that+the+major+reason+for+the+cost+gap+is+the+construction+cost+of+building+and+facilities%2C+which+can+be+4+to+5x+greater+for+US+fab+versus+a+fab+in+Taiwan.&amp;rft.date=2023-01-14&amp;rft.au=kevinsxu&amp;rft_id=https%3A%2F%2Fx.com%2Fkevinsxu%2Fstatus%2F1614367722921418752&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-132"><span class="mw-cite-backlink"><b><a href="#cite_ref-132">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFBelanger2023" class="citation web cs1">Belanger, Ashley (20 July 2023). <a rel="nofollow" class="external text" href="https://arstechnica.com/tech-policy/2023/07/tsmc-delays-us-chip-fab-opening-says-us-talent-is-insufficient/">"TSMC delays US chip fab opening, says US talent is insufficient"</a>. <i>Ars Technica</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20230721065929/https://arstechnica.com/tech-policy/2023/07/tsmc-delays-us-chip-fab-opening-says-us-talent-is-insufficient/">Archived</a> from the original on 21 July 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">21 July</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Ars+Technica&amp;rft.atitle=TSMC+delays+US+chip+fab+opening%2C+says+US+talent+is+insufficient&amp;rft.date=2023-07-20&amp;rft.aulast=Belanger&amp;rft.aufirst=Ashley&amp;rft_id=https%3A%2F%2Farstechnica.com%2Ftech-policy%2F2023%2F07%2Ftsmc-delays-us-chip-fab-opening-says-us-talent-is-insufficient%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-133"><span class="mw-cite-backlink"><b><a href="#cite_ref-133">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFLovejoy2023" class="citation web cs1">Lovejoy, Ben (11 September 2023). <a rel="nofollow" class="external text" href="https://9to5mac.com/2023/09/11/tsmc-arizona-chip-plant/">"TSMC Arizona chip plant will be a paperweight, says analyst"</a>. <i>9to5Mac</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20230914130904/https://9to5mac.com/2023/09/11/tsmc-arizona-chip-plant/">Archived</a> from the original on 14 September 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">14 September</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=9to5Mac&amp;rft.atitle=TSMC+Arizona+chip+plant+will+be+a+paperweight%2C+says+analyst&amp;rft.date=2023-09-11&amp;rft.aulast=Lovejoy&amp;rft.aufirst=Ben&amp;rft_id=https%3A%2F%2F9to5mac.com%2F2023%2F09%2F11%2Ftsmc-arizona-chip-plant%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-134"><span class="mw-cite-backlink"><b><a href="#cite_ref-134">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFBelanger2024" class="citation web cs1">Belanger, Ashley (18 January 2024). <a rel="nofollow" class="external text" href="https://arstechnica.com/tech-policy/2024/01/bidens-plan-to-dominate-chips-market-may-face-delays-downgrades-at-tsmc-fabs/">"TSMC predicts delays, less advanced chips at second Arizona fab"</a>. <i>Ars Technica</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20240119180933/https://arstechnica.com/tech-policy/2024/01/bidens-plan-to-dominate-chips-market-may-face-delays-downgrades-at-tsmc-fabs/">Archived</a> from the original on 19 January 2024<span class="reference-accessdate">. Retrieved <span class="nowrap">19 January</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Ars+Technica&amp;rft.atitle=TSMC+predicts+delays%2C+less+advanced+chips+at+second+Arizona+fab&amp;rft.date=2024-01-18&amp;rft.aulast=Belanger&amp;rft.aufirst=Ashley&amp;rft_id=https%3A%2F%2Farstechnica.com%2Ftech-policy%2F2024%2F01%2Fbidens-plan-to-dominate-chips-market-may-face-delays-downgrades-at-tsmc-fabs%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-135"><span class="mw-cite-backlink"><b><a href="#cite_ref-135">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFPark2024" class="citation web cs1">Park, Kate (8 April 2024). <a rel="nofollow" class="external text" href="https://techcrunch.com/2024/04/08/us-to-award-tsmc-6-6b-in-grants-5b-in-loans-to-step-up-chip-manufacturing-in-arizona/">"US to award TSMC $6.6B in grants, $5B in loans to step up chip manufacturing in Arizona"</a>. <i>TechCrunch</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20240408131301/https://techcrunch.com/2024/04/08/us-to-award-tsmc-6-6b-in-grants-5b-in-loans-to-step-up-chip-manufacturing-in-arizona/">Archived</a> from the original on 8 April 2024<span class="reference-accessdate">. Retrieved <span class="nowrap">8 April</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=TechCrunch&amp;rft.atitle=US+to+award+TSMC+%246.6B+in+grants%2C+%245B+in+loans+to+step+up+chip+manufacturing+in+Arizona&amp;rft.date=2024-04-08&amp;rft.aulast=Park&amp;rft.aufirst=Kate&amp;rft_id=https%3A%2F%2Ftechcrunch.com%2F2024%2F04%2F08%2Fus-to-award-tsmc-6-6b-in-grants-5b-in-loans-to-step-up-chip-manufacturing-in-arizona%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-136"><span class="mw-cite-backlink"><b><a href="#cite_ref-136">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.abc15.com/news/business/developers-unveil-halo-vista-a-city-within-a-city-surrounding-tsmc-in-phoenix">"Developers unveil Halo Vista, a 'city within a city' surrounding TSMC in Phoenix"</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Developers+unveil+Halo+Vista%2C+a+%27city+within+a+city%27+surrounding+TSMC+in+Phoenix&amp;rft_id=https%3A%2F%2Fwww.abc15.com%2Fnews%2Fbusiness%2Fdevelopers-unveil-halo-vista-a-city-within-a-city-surrounding-tsmc-in-phoenix&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-137"><span class="mw-cite-backlink"><b><a href="#cite_ref-137">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.columbian.com/news/2013/jan/30/wafertech-site-subject-speculation/">"WaferTech site subject of speculation"</a>. <i>The Columbian</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210716152650/https://www.columbian.com/news/2013/jan/30/wafertech-site-subject-speculation/">Archived</a> from the original on 16 July 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">16 July</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=The+Columbian&amp;rft.atitle=WaferTech+site+subject+of+speculation&amp;rft_id=https%3A%2F%2Fwww.columbian.com%2Fnews%2F2013%2Fjan%2F30%2Fwafertech-site-subject-speculation%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-138"><span class="mw-cite-backlink"><b><a href="#cite_ref-138">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://www.nytimes.com/2000/12/15/business/company-news-chip-maker-is-buying-out-three-wafertech-partners.html">"Company News – Chip maker is buying out three WaferTech partners"</a>. <i>The New York Times</i>. Bloomberg. 15 December 2000. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20210417120953/https://www.nytimes.com/2000/12/15/business/company-news-chip-maker-is-buying-out-three-wafertech-partners.html">Archived</a> from the original on 17 April 2021<span class="reference-accessdate">. Retrieved <span class="nowrap">17 April</span> 2021</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=The+New+York+Times&amp;rft.atitle=Company+News+%E2%80%93+Chip+maker+is+buying+out+three+WaferTech+partners&amp;rft.date=2000-12-15&amp;rft_id=https%3A%2F%2Fwww.nytimes.com%2F2000%2F12%2F15%2Fbusiness%2Fcompany-news-chip-maker-is-buying-out-three-wafertech-partners.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-139"><span class="mw-cite-backlink"><b><a href="#cite_ref-139">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.tsmcwashington.com/en/foundry/technology.html">"Technology"</a>. <i>tsmcwashington.com</i>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20240128171738/https://www.tsmcwashington.com/en/foundry/technology.html">Archived</a> from the original on 28 January 2024<span class="reference-accessdate">. Retrieved <span class="nowrap">28 January</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=tsmcwashington.com&amp;rft.atitle=Technology&amp;rft_id=https%3A%2F%2Fwww.tsmcwashington.com%2Fen%2Ffoundry%2Ftechnology.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-140"><span class="mw-cite-backlink"><b><a href="#cite_ref-140">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation web cs1 cs1-prop-foreign-lang-source"><a rel="nofollow" class="external text" href="https://www.tsmc.com/static/japanese/careers/jasm/about-jasm.html">"JASMについて"</a>. <i>www.tsmc.com</i> (in Japanese). 29 March 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">21 January</span> 2025</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.tsmc.com&amp;rft.atitle=JASM%E3%81%AB%E3%81%A4%E3%81%84%E3%81%A6&amp;rft.date=2023-03-29&amp;rft_id=https%3A%2F%2Fwww.tsmc.com%2Fstatic%2Fjapanese%2Fcareers%2Fjasm%2Fabout-jasm.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-xTECH20240304-141"><span class="mw-cite-backlink">^ <a href="#cite_ref-xTECH20240304_141-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-xTECH20240304_141-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFOhshita2024" class="citation web cs1 cs1-prop-foreign-lang-source">Ohshita, Junichi (4 March 2024). <a rel="nofollow" class="external text" href="https://xtech.nikkei.com/atcl/nxt/column/18/00001/08972/">"見えてきたTSMC熊本第2工場、6nm世代品まで手掛け27年10~12月初出荷"</a>. <i>日経クロステック(xTECH)</i> (in Japanese)<span class="reference-accessdate">. Retrieved <span class="nowrap">21 January</span> 2025</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=%E6%97%A5%E7%B5%8C%E3%82%AF%E3%83%AD%E3%82%B9%E3%83%86%E3%83%83%E3%82%AF%EF%BC%88xTECH%EF%BC%89&amp;rft.atitle=%E8%A6%8B%E3%81%88%E3%81%A6%E3%81%8D%E3%81%9FTSMC%E7%86%8A%E6%9C%AC%E7%AC%AC2%E5%B7%A5%E5%A0%B4%E3%80%816nm%E4%B8%96%E4%BB%A3%E5%93%81%E3%81%BE%E3%81%A7%E6%89%8B%E6%8E%9B%E3%81%9127%E5%B9%B410%EF%BD%9E12%E6%9C%88%E5%88%9D%E5%87%BA%E8%8D%B7&amp;rft.date=2024-03-04&amp;rft.aulast=Ohshita&amp;rft.aufirst=Junichi&amp;rft_id=https%3A%2F%2Fxtech.nikkei.com%2Fatcl%2Fnxt%2Fcolumn%2F18%2F00001%2F08972%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-142"><span class="mw-cite-backlink"><b><a href="#cite_ref-142">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://www.dw.com/en/taiwans-tsmc-to-build-semiconductor-factory-in-germany/a-66469463">"Taiwan's TSMC to build semiconductor factory in Germany"</a>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20230809014705/https://www.dw.com/en/taiwans-tsmc-to-build-semiconductor-factory-in-germany/a-66469463">Archived</a> from the original on 9 August 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">9 August</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=Taiwan%27s+TSMC+to+build+semiconductor+factory+in+Germany&amp;rft_id=https%3A%2F%2Fwww.dw.com%2Fen%2Ftaiwans-tsmc-to-build-semiconductor-factory-in-germany%2Fa-66469463&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-143"><span class="mw-cite-backlink"><b><a href="#cite_ref-143">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://focustaiwan.tw/business/202308080019">"TSMC approves joint venture to build plant in Dresden, Germany"</a>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20230809014438/https://focustaiwan.tw/business/202308080019">Archived</a> from the original on 9 August 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">9 August</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=TSMC+approves+joint+venture+to+build+plant+in+Dresden%2C+Germany&amp;rft_id=https%3A%2F%2Ffocustaiwan.tw%2Fbusiness%2F202308080019&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-144"><span class="mw-cite-backlink"><b><a href="#cite_ref-144">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://edition.cnn.com/2023/08/08/business/germany-tsmc-chip-plant/index.html">"Germany spends big to win $11 billion TSMC chip plant"</a>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20230809042854/https://edition.cnn.com/2023/08/08/business/germany-tsmc-chip-plant/index.html">Archived</a> from the original on 9 August 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">9 August</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=Germany+spends+big+to+win+%2411+billion+TSMC+chip+plant&amp;rft_id=https%3A%2F%2Fedition.cnn.com%2F2023%2F08%2F08%2Fbusiness%2Fgermany-tsmc-chip-plant%2Findex.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-145"><span class="mw-cite-backlink"><b><a href="#cite_ref-145">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://pr.tsmc.com/english/news/3049">"TSMC, Bosch, Infineon, and NXP Establish Joint Venture to Bring Advanced Semiconductor Manufacturing to Europe"</a>. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20231127160455/https://pr.tsmc.com/english/news/3049">Archived</a> from the original on 27 November 2023<span class="reference-accessdate">. Retrieved <span class="nowrap">11 August</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.atitle=TSMC%2C+Bosch%2C+Infineon%2C+and+NXP+Establish+Joint+Venture+to+Bring+Advanced+Semiconductor+Manufacturing+to+Europe&amp;rft_id=https%3A%2F%2Fpr.tsmc.com%2Fenglish%2Fnews%2F3049&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> <li id="cite_note-146"><span class="mw-cite-backlink"><b><a href="#cite_ref-146">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222" /><cite id="CITEREFLisa2024" class="citation web cs1">Lisa, Morgan (17 October 2024). <a rel="nofollow" class="external text" href="https://hataf.co/technology/tsmc-beats-expectations-is-ai-chip-demand-fueling-the-semiconductor-boom/">"TSMC Q3 Results Exceed Expectations, Fueled by AI Demand"</a>. <i>Hataf News</i>. <a rel="nofollow" class="external text" href="https://www.cnbc.com/2024/10/17/tsmc-q3-earnings-profit-beats-expectations-as-ai-boom-drives-54percent-hike-.html">Archived</a> from the original on 18 October 2024<span class="reference-accessdate">. Retrieved <span class="nowrap">18 October</span> 2024</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Hataf+News&amp;rft.atitle=TSMC+Q3+Results+Exceed+Expectations%2C+Fueled+by+AI+Demand&amp;rft.date=2024-10-17&amp;rft.aulast=Lisa&amp;rft.aufirst=Morgan&amp;rft_id=https%3A%2F%2Fhataf.co%2Ftechnology%2Ftsmc-beats-expectations-is-ai-chip-demand-fueling-the-semiconductor-boom%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3ATSMC" class="Z3988"></span></span> </li> </ol></div></div> <div class="mw-heading mw-heading2"><h2 id="External_links">External links</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=TSMC&amp;action=edit&amp;section=20" title="Edit section: External links"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <style data-mw-deduplicate="TemplateStyles:r1235681985">.mw-parser-output .side-box{margin:4px 0;box-sizing:border-box;border:1px solid #aaa;font-size:88%;line-height:1.25em;background-color:var(--background-color-interactive-subtle,#f8f9fa);display:flow-root}.mw-parser-output .side-box-abovebelow,.mw-parser-output .side-box-text{padding:0.25em 0.9em}.mw-parser-output .side-box-image{padding:2px 0 2px 0.9em;text-align:center}.mw-parser-output .side-box-imageright{padding:2px 0.9em 2px 0;text-align:center}@media(min-width:500px){.mw-parser-output .side-box-flex{display:flex;align-items:center}.mw-parser-output .side-box-text{flex:1;min-width:0}}@media(min-width:720px){.mw-parser-output .side-box{width:238px}.mw-parser-output .side-box-right{clear:right;float:right;margin-left:1em}.mw-parser-output .side-box-left{margin-right:1em}}</style><style data-mw-deduplicate="TemplateStyles:r1237033735">@media print{body.ns-0 .mw-parser-output .sistersitebox{display:none!important}}@media screen{html.skin-theme-clientpref-night .mw-parser-output .sistersitebox img[src*="Wiktionary-logo-en-v2.svg"]{background-color:white}}@media screen and (prefers-color-scheme:dark){html.skin-theme-clientpref-os .mw-parser-output .sistersitebox img[src*="Wiktionary-logo-en-v2.svg"]{background-color:white}}</style><div class="side-box side-box-right plainlinks sistersitebox"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1126788409" /> <div class="side-box-flex"> <div class="side-box-image"><span class="noviewer" typeof="mw:File"><a href="/wiki/File:Commons-logo.svg" class="mw-file-description"><img alt="" src="//upload.wikimedia.org/wikipedia/en/thumb/4/4a/Commons-logo.svg/40px-Commons-logo.svg.png" decoding="async" width="30" height="40" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/en/thumb/4/4a/Commons-logo.svg/60px-Commons-logo.svg.png 1.5x" data-file-width="1024" data-file-height="1376" /></a></span></div> <div class="side-box-text plainlist">Wikimedia Commons has media related to <span style="font-weight: bold; font-style: italic;"><a href="https://commons.wikimedia.org/wiki/Category:TSMC" class="extiw" title="commons:Category:TSMC">TSMC</a></span>.</div></div> </div> <ul><li><span class="official-website"><span class="url"><a rel="nofollow" class="external text" href="https://www.tsmc.com/">Official website</a></span></span> <span class="mw-valign-text-top" typeof="mw:File/Frameless"><a href="https://www.wikidata.org/wiki/Q713418#P856" title="Edit this at Wikidata"><img alt="Edit this at Wikidata" src="//upload.wikimedia.org/wikipedia/en/thumb/8/8a/OOjs_UI_icon_edit-ltr-progressive.svg/10px-OOjs_UI_icon_edit-ltr-progressive.svg.png" decoding="async" width="10" height="10" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/en/thumb/8/8a/OOjs_UI_icon_edit-ltr-progressive.svg/15px-OOjs_UI_icon_edit-ltr-progressive.svg.png 1.5x, //upload.wikimedia.org/wikipedia/en/thumb/8/8a/OOjs_UI_icon_edit-ltr-progressive.svg/20px-OOjs_UI_icon_edit-ltr-progressive.svg.png 2x" data-file-width="20" data-file-height="20" /></a></span></li> <li class="mw-empty-elt"></li> <li>Business data for TSMC: <link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374" /><div class="hlist inline"><ul><li><a rel="nofollow" class="external text" href="https://www.google.com/finance/quote/NYSE:TSM">Google</a></li><li><a rel="nofollow" class="external text" href="https://www.reuters.com/markets/companies/TSM">Reuters</a></li><li><a rel="nofollow" class="external text" href="https://www.sec.gov/cgi-bin/browse-edgar?action=getcompany&amp;CIK=TSM">SEC filings</a></li><li><a rel="nofollow" class="external text" href="https://finance.yahoo.com/quote/TSM">Yahoo!</a></li></ul></div></li></ul> <div class="navbox-styles"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374" /><style data-mw-deduplicate="TemplateStyles:r1236075235">.mw-parser-output .navbox{box-sizing:border-box;border:1px solid #a2a9b1;width:100%;clear:both;font-size:88%;text-align:center;padding:1px;margin:1em auto 0}.mw-parser-output .navbox .navbox{margin-top:0}.mw-parser-output .navbox+.navbox,.mw-parser-output .navbox+.navbox-styles+.navbox{margin-top:-1px}.mw-parser-output .navbox-inner,.mw-parser-output .navbox-subgroup{width:100%}.mw-parser-output .navbox-group,.mw-parser-output .navbox-title,.mw-parser-output .navbox-abovebelow{padding:0.25em 1em;line-height:1.5em;text-align:center}.mw-parser-output .navbox-group{white-space:nowrap;text-align:right}.mw-parser-output .navbox,.mw-parser-output .navbox-subgroup{background-color:#fdfdfd}.mw-parser-output .navbox-list{line-height:1.5em;border-color:#fdfdfd}.mw-parser-output .navbox-list-with-group{text-align:left;border-left-width:2px;border-left-style:solid}.mw-parser-output tr+tr>.navbox-abovebelow,.mw-parser-output tr+tr>.navbox-group,.mw-parser-output tr+tr>.navbox-image,.mw-parser-output tr+tr>.navbox-list{border-top:2px solid #fdfdfd}.mw-parser-output .navbox-title{background-color:#ccf}.mw-parser-output .navbox-abovebelow,.mw-parser-output .navbox-group,.mw-parser-output .navbox-subgroup .navbox-title{background-color:#ddf}.mw-parser-output .navbox-subgroup .navbox-group,.mw-parser-output .navbox-subgroup .navbox-abovebelow{background-color:#e6e6ff}.mw-parser-output .navbox-even{background-color:#f7f7f7}.mw-parser-output .navbox-odd{background-color:transparent}.mw-parser-output .navbox .hlist td dl,.mw-parser-output .navbox .hlist td ol,.mw-parser-output .navbox .hlist td ul,.mw-parser-output .navbox td.hlist dl,.mw-parser-output .navbox td.hlist ol,.mw-parser-output .navbox td.hlist ul{padding:0.125em 0}.mw-parser-output .navbox .navbar{display:block;font-size:100%}.mw-parser-output .navbox-title .navbar{float:left;text-align:left;margin-right:0.5em}body.skin--responsive .mw-parser-output .navbox-image img{max-width:none!important}@media print{body.ns-0 .mw-parser-output .navbox{display:none!important}}</style></div><div role="navigation" class="navbox" aria-labelledby="Economy_of_Taiwan419" style="padding:3px"><table class="nowraplinks mw-collapsible autocollapse navbox-inner" style="border-spacing:0;background:transparent;color:inherit"><tbody><tr><th scope="col" class="navbox-title" colspan="3"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374" /><style data-mw-deduplicate="TemplateStyles:r1239400231">.mw-parser-output .navbar{display:inline;font-size:88%;font-weight:normal}.mw-parser-output .navbar-collapse{float:left;text-align:left}.mw-parser-output .navbar-boxtext{word-spacing:0}.mw-parser-output .navbar ul{display:inline-block;white-space:nowrap;line-height:inherit}.mw-parser-output .navbar-brackets::before{margin-right:-0.125em;content:"[ "}.mw-parser-output .navbar-brackets::after{margin-left:-0.125em;content:" ]"}.mw-parser-output .navbar li{word-spacing:-0.125em}.mw-parser-output .navbar a>span,.mw-parser-output .navbar a>abbr{text-decoration:inherit}.mw-parser-output .navbar-mini abbr{font-variant:small-caps;border-bottom:none;text-decoration:none;cursor:inherit}.mw-parser-output .navbar-ct-full{font-size:114%;margin:0 7em}.mw-parser-output .navbar-ct-mini{font-size:114%;margin:0 4em}html.skin-theme-clientpref-night .mw-parser-output .navbar li a abbr{color:var(--color-base)!important}@media(prefers-color-scheme:dark){html.skin-theme-clientpref-os .mw-parser-output .navbar li a abbr{color:var(--color-base)!important}}@media print{.mw-parser-output .navbar{display:none!important}}</style><div class="navbar plainlinks hlist navbar-mini"><ul><li class="nv-view"><a href="/wiki/Template:Economy_of_Taiwan" title="Template:Economy of Taiwan"><abbr title="View this template">v</abbr></a></li><li class="nv-talk"><a href="/wiki/Template_talk:Economy_of_Taiwan" title="Template talk:Economy of Taiwan"><abbr title="Discuss this template">t</abbr></a></li><li class="nv-edit"><a href="/wiki/Special:EditPage/Template:Economy_of_Taiwan" title="Special:EditPage/Template:Economy of Taiwan"><abbr title="Edit this template">e</abbr></a></li></ul></div><div id="Economy_of_Taiwan419" style="font-size:114%;margin:0 4em"><a href="/wiki/Economy_of_Taiwan" title="Economy of Taiwan">Economy of Taiwan</a></div></th></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Economic_history_of_Taiwan" title="Economic history of Taiwan">History</a></th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Four_Asian_Tigers" title="Four Asian Tigers">Four Asian Tigers</a></li> <li><a href="/wiki/National_Standards_of_the_Republic_of_China" title="National Standards of the Republic of China">CNS</a></li> <li><a href="/wiki/China_Circle" title="China Circle">China Circle</a></li> <li><a href="/wiki/Taiwan_Miracle" title="Taiwan Miracle">Taiwan Miracle</a></li> <li><a href="/wiki/Formosa_bond" title="Formosa bond">Formosa bond</a></li></ul> </div></td><td class="noviewer navbox-image" rowspan="9" style="width:1px;padding:0 0 0 2px"><div><span typeof="mw:File"><a href="/wiki/File:101.portrait.altonthompson.jpg" class="mw-file-description" title="Economy of Taiwan"><img alt="Economy of Taiwan" src="//upload.wikimedia.org/wikipedia/commons/thumb/0/00/101.portrait.altonthompson.jpg/100px-101.portrait.altonthompson.jpg" decoding="async" width="100" height="192" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/0/00/101.portrait.altonthompson.jpg/150px-101.portrait.altonthompson.jpg 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/0/00/101.portrait.altonthompson.jpg/200px-101.portrait.altonthompson.jpg 2x" data-file-width="415" data-file-height="795" /></a></span></div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Currency</th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/New_Taiwan_dollar" title="New Taiwan dollar">New Taiwan dollar</a> <ul><li><a href="/wiki/Fifth_series_of_the_new_Taiwan_dollar_banknote" title="Fifth series of the new Taiwan dollar banknote">Fifth series banknotes</a></li></ul></li> <li><a href="/wiki/Old_Taiwan_dollar" title="Old Taiwan dollar">Old Taiwan dollar</a></li> <li><a href="/wiki/Taiwanese_yen" title="Taiwanese yen">Taiwanese yen</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Banking and finance</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Taiwan_Stock_Exchange" title="Taiwan Stock Exchange">Taiwan Stock Exchange</a></li> <li><a href="/wiki/Taipei_Exchange" title="Taipei Exchange">Taipei Exchange</a></li> <li><a href="/wiki/Taiwan_Futures_Exchange" title="Taiwan Futures Exchange">Taiwan Futures Exchange</a></li> <li><a href="/wiki/Taiwan_Capitalization_Weighted_Stock_Index" class="mw-redirect" title="Taiwan Capitalization Weighted Stock Index">Taiwan Capitalization Weighted Stock Index</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Government agencies</th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Ministry_of_Economic_Affairs_(Taiwan)" title="Ministry of Economic Affairs (Taiwan)">Ministry of Economic Affairs</a></li> <li><a href="/wiki/Fair_Trade_Commission_(Taiwan)" title="Fair Trade Commission (Taiwan)">Fair Trade Commission</a></li> <li><a href="/wiki/Financial_Supervisory_Commission_(Taiwan)" title="Financial Supervisory Commission (Taiwan)">Financial Supervisory Commission</a></li> <li><a href="/wiki/Consumer_Protection_Commission_(Taiwan)" class="mw-redirect" title="Consumer Protection Commission (Taiwan)">Consumer Protection Commission</a></li> <li><a href="/wiki/Taiwan_External_Trade_Development_Council" title="Taiwan External Trade Development Council">Taiwan External Trade Development Council</a></li> <li><a href="/wiki/Central_Bank_of_the_Republic_of_China_(Taiwan)" title="Central Bank of the Republic of China (Taiwan)">Central Bank</a> <ul><li><a href="/wiki/Central_Mint" title="Central Mint">Central Mint</a></li> <li><a href="/wiki/Central_Engraving_and_Printing_Plant" title="Central Engraving and Printing Plant">Central Engraving and Printing Plant</a></li></ul></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Research</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Taiwan_Institute_of_Economic_Research" title="Taiwan Institute of Economic Research">Taiwan Institute of Economic Research</a></li> <li><a href="/wiki/Chung-Hua_Institution_for_Economic_Research" title="Chung-Hua Institution for Economic Research">Chung-Hua Institution for Economic Research</a></li> <li><a href="/wiki/Institute_of_Economics,_Academia_Sinica" title="Institute of Economics, Academia Sinica">Institute of Economics, Academia Sinica</a></li> <li><a href="/wiki/Industrial_Technology_Research_Institute" title="Industrial Technology Research Institute">Industrial Technology Research Institute</a></li> <li><a href="/wiki/National_Biotechnology_Research_Park" title="National Biotechnology Research Park">National Biotechnology Research Park</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Sectors</th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Agriculture_in_Taiwan" title="Agriculture in Taiwan">Agriculture</a> <ul><li><a href="/wiki/Aquaculture_in_Taiwan" title="Aquaculture in Taiwan">Aquaculture</a></li> <li><a href="/wiki/Floriculture_in_Taiwan" title="Floriculture in Taiwan">Floriculture</a></li></ul></li> <li><a href="/wiki/Automotive_industry_in_Taiwan" title="Automotive industry in Taiwan">Automotive</a></li> <li><a href="/wiki/Defense_industry_of_Taiwan" title="Defense industry of Taiwan">Defense</a></li> <li><a href="/wiki/Electricity_sector_in_Taiwan" title="Electricity sector in Taiwan">Electricity</a></li> <li><a href="/wiki/Energy_in_Taiwan" title="Energy in Taiwan">Energy</a></li> <li><a href="/wiki/Maritime_industries_of_Taiwan" title="Maritime industries of Taiwan">Maritime</a></li> <li><a href="/wiki/Mining_in_Taiwan" title="Mining in Taiwan">Mining</a></li> <li><a href="/wiki/Semiconductor_industry_in_Taiwan" title="Semiconductor industry in Taiwan">Semiconductor</a></li> <li><a href="/wiki/Telecommunications_in_Taiwan" title="Telecommunications in Taiwan">Telecommunications</a></li> <li><a href="/wiki/Textile_industry_in_Taiwan" title="Textile industry in Taiwan">Textile</a></li> <li><a href="/wiki/Transportation_in_Taiwan" title="Transportation in Taiwan">Transportation</a></li> <li><a href="/wiki/Water_supply_and_sanitation_in_Taiwan" title="Water supply and sanitation in Taiwan">Water Supply and Sanitation</a></li> <li><a href="/wiki/State-owned_enterprises_of_Taiwan" class="mw-redirect" title="State-owned enterprises of Taiwan">State-owned enterprises</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Industrial and science parks</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Beitou-Shilin_Technology_Park" title="Beitou-Shilin Technology Park">Beitou-Shilin Technology Park</a></li> <li><a href="/wiki/Central_Taiwan_Science_Park" title="Central Taiwan Science Park">Central Taiwan Science Park</a></li> <li><a href="/wiki/Changhua_Coastal_Industrial_Park" title="Changhua Coastal Industrial Park">Changhua Coastal Industrial Park</a></li> <li><a href="/wiki/Hsinchu_Biomedical_Science_Park" title="Hsinchu Biomedical Science Park">Hsinchu Biomedical Science Park</a></li> <li><a href="/wiki/Hsinchu_Industrial_Park" title="Hsinchu Industrial Park">Hsinchu Industrial Park</a></li> <li><a href="/wiki/Hsinchu_Science_Park" title="Hsinchu Science Park">Hsinchu Science Park</a></li> <li><a href="/wiki/Kaohsiung_Science_Park" title="Kaohsiung Science Park">Kaohsiung Science Park</a></li> <li><a href="/wiki/Kaohsiung_Software_Park" title="Kaohsiung Software Park">Kaohsiung Software Park</a></li> <li><a href="/wiki/Linhai_Industrial_Park" title="Linhai Industrial Park">Linhai Industrial Park</a></li> <li><a href="/wiki/Nangang_Software_Park" title="Nangang Software Park">Nangang Software Park</a></li> <li><a href="/wiki/National_Biotechnology_Research_Park" title="National Biotechnology Research Park">National Biotechnology Research Park</a></li> <li><a href="/wiki/Neihu_Technology_Park" title="Neihu Technology Park">Neihu Technology Park</a></li> <li><a href="/wiki/Shalun_Smart_Green_Energy_Science_City" title="Shalun Smart Green Energy Science City">Shalun Smart Green Energy Science City</a></li> <li><a href="/wiki/Southern_Taiwan_Science_Park" title="Southern Taiwan Science Park">Southern Taiwan Science Park</a></li> <li><a href="/wiki/Tai_Yuen_Hi-Tech_Industrial_Park" title="Tai Yuen Hi-Tech Industrial Park">Tai Yuen Hi-Tech Industrial Park</a></li> <li><a href="/wiki/Taichung_Shuinan_Economic_and_Trade_Park" title="Taichung Shuinan Economic and Trade Park">Taichung Shuinan Economic and Trade Park</a></li> <li><a href="/wiki/Tainan_Science_Park" title="Tainan Science Park">Tainan Science Park</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Associations</th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/American_Chamber_of_Commerce_in_Taiwan" title="American Chamber of Commerce in Taiwan">American Chamber of Commerce in Taiwan</a></li> <li><a href="/wiki/US%E2%80%93Taiwan_Business_Council" title="US–Taiwan Business Council">US–Taiwan Business Council</a></li> <li><a href="/w/index.php?title=National_Innovation_and_Entrepreneurship_Association&amp;action=edit&amp;redlink=1" class="new" title="National Innovation and Entrepreneurship Association (page does not exist)">National Innovation and Entrepreneurship Association</a></li> <li><a href="/wiki/Taiwan_Confederation_of_Trade_Unions" title="Taiwan Confederation of Trade Unions">Taiwan Confederation of Trade Unions</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Other</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Economic_Cooperation_Framework_Agreement" title="Economic Cooperation Framework Agreement">Economic Cooperation Framework Agreement</a></li> <li><a href="/wiki/Made_in_Taiwan" title="Made in Taiwan">Made in Taiwan</a></li> <li><a href="/wiki/Minimum_wage_in_Taiwan" title="Minimum wage in Taiwan">Minimum wage</a></li> <li><a href="/wiki/International_rankings_of_Taiwan" title="International rankings of Taiwan">International rankings</a></li> <li><a href="/wiki/Labor_movement_in_Taiwan" title="Labor movement in Taiwan">Labor movement</a></li></ul> </div></td></tr><tr><td class="navbox-abovebelow hlist" colspan="3"><div> <ul><li><span class="noviewer" typeof="mw:File"><span title="Category"><img alt="" src="//upload.wikimedia.org/wikipedia/en/thumb/9/96/Symbol_category_class.svg/16px-Symbol_category_class.svg.png" decoding="async" width="16" height="16" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/en/thumb/9/96/Symbol_category_class.svg/23px-Symbol_category_class.svg.png 1.5x, //upload.wikimedia.org/wikipedia/en/thumb/9/96/Symbol_category_class.svg/31px-Symbol_category_class.svg.png 2x" data-file-width="180" data-file-height="185" /></span></span> <b><a href="/wiki/Category:Economy_of_Taiwan" title="Category:Economy of Taiwan">Category</a></b></li> <li><span class="noviewer" typeof="mw:File"><span title="Commons page"><img alt="" src="//upload.wikimedia.org/wikipedia/en/thumb/4/4a/Commons-logo.svg/20px-Commons-logo.svg.png" decoding="async" width="12" height="16" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/en/thumb/4/4a/Commons-logo.svg/40px-Commons-logo.svg.png 2x" data-file-width="1024" data-file-height="1376" /></span></span> <a href="https://commons.wikimedia.org/wiki/Category:Economy_of_Taiwan" class="extiw" title="commons:Category:Economy of Taiwan"><b>Commons</b></a></li></ul> </div></td></tr></tbody></table></div> <div class="navbox-styles"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374" /><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1236075235" /></div><div role="navigation" class="navbox" aria-labelledby="23x15px&amp;#124;border_&amp;#124;alt=Taiwan&amp;#124;link=Taiwan_Electronics_industry_in_Taiwan355" style="padding:3px"><table class="nowraplinks hlist mw-collapsible mw-collapsed navbox-inner" style="border-spacing:0;background:transparent;color:inherit"><tbody><tr><th scope="col" class="navbox-title" colspan="2"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374" /><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1239400231" /><div class="navbar plainlinks hlist navbar-mini"><ul><li class="nv-view"><a href="/wiki/Template:Electronics_industry_in_Taiwan" title="Template:Electronics industry in Taiwan"><abbr title="View this template">v</abbr></a></li><li class="nv-talk"><a href="/wiki/Template_talk:Electronics_industry_in_Taiwan" title="Template talk:Electronics industry in Taiwan"><abbr title="Discuss this template">t</abbr></a></li><li class="nv-edit"><a href="/wiki/Special:EditPage/Template:Electronics_industry_in_Taiwan" title="Special:EditPage/Template:Electronics industry in Taiwan"><abbr title="Edit this template">e</abbr></a></li></ul></div><div id="23x15px&amp;#124;border_&amp;#124;alt=Taiwan&amp;#124;link=Taiwan_Electronics_industry_in_Taiwan355" style="font-size:114%;margin:0 4em"><span class="flagicon"><span class="mw-image-border" typeof="mw:File"><a href="/wiki/Taiwan" title="Taiwan"><img alt="Taiwan" src="//upload.wikimedia.org/wikipedia/commons/thumb/7/72/Flag_of_the_Republic_of_China.svg/40px-Flag_of_the_Republic_of_China.svg.png" decoding="async" width="23" height="15" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/7/72/Flag_of_the_Republic_of_China.svg/60px-Flag_of_the_Republic_of_China.svg.png 2x" data-file-width="900" data-file-height="600" /></a></span></span> <a href="/wiki/Electronics_industry" title="Electronics industry">Electronics industry</a> in <a href="/wiki/Taiwan" title="Taiwan">Taiwan</a></div></th></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Semiconductor_industry_in_Taiwan" title="Semiconductor industry in Taiwan">Semiconductor</a></th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Alchip" title="Alchip">Alchip</a></li> <li><a href="/wiki/ALi_Corporation" title="ALi Corporation">ALi</a></li> <li><a href="/wiki/ASIX" title="ASIX">ASIX</a></li> <li><a href="/wiki/Himax" title="Himax">Himax</a></li> <li><a href="/wiki/MediaTek" title="MediaTek">MediaTek</a> <ul><li><a href="/wiki/Ralink" title="Ralink">Ralink</a></li></ul></li> <li><a href="/wiki/Powerchip" title="Powerchip">PSMC</a></li> <li><a href="/wiki/Realtek" title="Realtek">Realtek</a></li> <li><a href="/wiki/Silicon_Integrated_Systems" title="Silicon Integrated Systems">SiS</a></li> <li><a href="/wiki/Taiwan_Semiconductor_Company_Limited" title="Taiwan Semiconductor Company Limited">Taiwan Semi</a></li> <li><a class="mw-selflink selflink">TSMC</a></li> <li><a href="/wiki/United_Microelectronics_Corporation" title="United Microelectronics Corporation">UMC</a></li> <li><a href="/wiki/Vanguard_International_Semiconductor_Corporation" title="Vanguard International Semiconductor Corporation">VIS</a></li> <li><a href="/wiki/VIA_Technologies" title="VIA Technologies">VIA Technologies</a></li> <li><a href="/wiki/Winbond" title="Winbond">Winbond</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">SiP design</th><td class="navbox-list-with-group navbox-list navbox-even" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/AOC_International" title="AOC International">AOC International</a></li> <li><a href="/wiki/Himax" title="Himax">Himax</a></li> <li><a href="/wiki/MediaTek" title="MediaTek">MediaTek</a> <ul><li><a href="/wiki/Ralink" title="Ralink">Ralink</a></li></ul></li> <li><a href="/wiki/Microtek" title="Microtek">Microtek</a></li> <li><a href="/wiki/Transcend_Information" title="Transcend Information">Transcend</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Other</th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Accton_Technology_Corporation" title="Accton Technology Corporation">Accton</a></li> <li><a href="/wiki/Acer_Inc." title="Acer Inc.">Acer</a></li> <li><a href="/wiki/ADATA" title="ADATA">ADATA</a></li> <li><a href="/wiki/Antec" title="Antec">Antec</a></li> <li><a href="/wiki/Apacer" title="Apacer">Apacer</a></li> <li><a href="/wiki/ASRock" title="ASRock">ASRock</a></li> <li><a href="/wiki/Asus" title="Asus">Asus</a></li> <li><a href="/wiki/ATEN_International" title="ATEN International">ATEN</a></li> <li><a href="/wiki/AUO_Corporation" title="AUO Corporation">AUO</a></li> <li><a href="/wiki/BenQ" title="BenQ">BenQ</a></li> <li><a href="/wiki/Billion_Electric" title="Billion Electric">Billion</a></li> <li><a href="/wiki/Biostar" title="Biostar">Biostar</a></li> <li><a href="/wiki/Clevo" title="Clevo">Clevo</a></li> <li><a href="/wiki/CNet_Technology" title="CNet Technology">CNet</a></li> <li><a href="/wiki/Cooler_Master" title="Cooler Master">Cooler Master</a></li> <li><a href="/wiki/Delta_Electronics" title="Delta Electronics">Delta</a></li> <li><a href="/wiki/DFI" title="DFI">DFI</a></li> <li><a href="/wiki/D-Link" title="D-Link">D-Link</a></li> <li><a href="/wiki/DrayTek" title="DrayTek">DrayTek</a></li> <li><a href="/wiki/Edimax" title="Edimax">Edimax</a></li> <li><a href="/wiki/Elitegroup_Computer_Systems" title="Elitegroup Computer Systems">ECS</a></li> <li><a href="/wiki/Foxconn" title="Foxconn">Foxconn</a></li> <li><a href="/wiki/GEEKOM" title="GEEKOM">GEEKOM</a></li> <li><a href="/wiki/Gigabyte_Technology" title="Gigabyte Technology">Gigabyte</a></li> <li><a href="/wiki/HTC" title="HTC">HTC</a></li> <li><a href="/wiki/Innodisk" title="Innodisk">Innodisk</a></li> <li><a href="/wiki/In_Win_Development" title="In Win Development">In Win Development</a></li> <li><a href="/wiki/KYE_Systems" title="KYE Systems">KYE</a></li> <li><a href="/wiki/Leadtek" title="Leadtek">Leadtek</a></li> <li><a href="/wiki/Lian_Li" title="Lian Li">Lian Li</a></li> <li><a href="/wiki/Micro-Star_International" title="Micro-Star International">MSI</a></li> <li><a href="/wiki/Palit_Microsystems" title="Palit Microsystems">Palit</a></li> <li><a href="/wiki/Promate" title="Promate">Promate</a></li> <li><a href="/wiki/Plustek" title="Plustek">Plustek</a></li> <li><a href="/wiki/PowerColor" title="PowerColor">PowerColor</a></li> <li><a href="/wiki/QNAP_Systems" title="QNAP Systems">QNAP</a></li> <li><a href="/wiki/Sampo_Corporation" title="Sampo Corporation">Sampo Corporation</a></li> <li><a href="/wiki/Sea_Sonic" title="Sea Sonic">Sea Sonic</a></li> <li><a href="/wiki/Senao_Networks" title="Senao Networks">Senao Networks</a></li> <li><a href="/wiki/Seventeam_Electronics" title="Seventeam Electronics">Seventeam</a></li> <li><a href="/wiki/Super_Flower" title="Super Flower">Super Flower</a></li> <li><a href="/wiki/Synology" title="Synology">Synology</a></li> <li><a href="/wiki/Thermaltake" title="Thermaltake">Thermaltake</a></li> <li><a href="/wiki/Tyan" title="Tyan">Tyan</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Defunct</th><td class="navbox-list-with-group navbox-list navbox-even" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/DTK_Computer" title="DTK Computer">DTK Computer</a></li> <li><a href="/wiki/EPoX" title="EPoX">EPoX</a></li> <li><a href="/wiki/Universal_Abit" title="Universal Abit">Universal Abit</a></li> <li><a href="/wiki/Wintek" title="Wintek">Wintek</a></li></ul> </div></td></tr><tr><td class="navbox-abovebelow" colspan="2" style="font-weight: bold;"><div> <ul><li><span class="noviewer" typeof="mw:File"><span title="Category"><img alt="" src="//upload.wikimedia.org/wikipedia/en/thumb/9/96/Symbol_category_class.svg/16px-Symbol_category_class.svg.png" decoding="async" width="16" height="16" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/en/thumb/9/96/Symbol_category_class.svg/23px-Symbol_category_class.svg.png 1.5x, //upload.wikimedia.org/wikipedia/en/thumb/9/96/Symbol_category_class.svg/31px-Symbol_category_class.svg.png 2x" data-file-width="180" data-file-height="185" /></span></span> <a href="/wiki/Category:Electronics_companies_of_Taiwan" title="Category:Electronics companies of Taiwan">Category</a></li></ul> </div></td></tr></tbody></table></div> <div class="navbox-styles"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374" /><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1236075235" /></div><div role="navigation" class="navbox" aria-labelledby="Major_semiconductor_companies157" style="padding:3px"><table class="nowraplinks hlist mw-collapsible autocollapse navbox-inner" style="border-spacing:0;background:transparent;color:inherit"><tbody><tr><th scope="col" class="navbox-title" colspan="2"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374" /><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1239400231" /><div class="navbar plainlinks hlist navbar-mini"><ul><li class="nv-view"><a href="/wiki/Template:Major_semiconductor_companies" title="Template:Major semiconductor companies"><abbr title="View this template">v</abbr></a></li><li class="nv-talk"><a href="/wiki/Template_talk:Major_semiconductor_companies" title="Template talk:Major semiconductor companies"><abbr title="Discuss this template">t</abbr></a></li><li class="nv-edit"><a href="/wiki/Special:EditPage/Template:Major_semiconductor_companies" title="Special:EditPage/Template:Major semiconductor companies"><abbr title="Edit this template">e</abbr></a></li></ul></div><div id="Major_semiconductor_companies157" style="font-size:114%;margin:0 4em">Major <a href="/wiki/Semiconductor_industry" title="Semiconductor industry">semiconductor companies</a></div></th></tr><tr><td class="navbox-abovebelow" colspan="2"><div> <ul><li><i>Note = "major" equates to companies with an annual revenue of over US$3 billion, past or present</i></li></ul> </div></td></tr><tr><td colspan="2" class="navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"></div><table class="nowraplinks navbox-subgroup" style="border-spacing:0"><tbody><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Integrated_device_manufacturer" title="Integrated device manufacturer">IDM</a></th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Analog_Devices" title="Analog Devices">Analog Devices</a></li> <li><a href="/wiki/Fujitsu" title="Fujitsu">Fujitsu</a></li> <li><a href="/wiki/Infineon_Technologies" title="Infineon Technologies">Infineon</a></li> <li><a href="/wiki/Intel" title="Intel">Intel</a></li> <li><a href="/wiki/Kioxia" title="Kioxia">Kioxia</a></li> <li><a href="/wiki/Microchip_Technology" title="Microchip Technology">Microchip</a></li> <li><a href="/wiki/Micron_Technology" title="Micron Technology">Micron</a></li> <li><a href="/wiki/NXP_Semiconductors" title="NXP Semiconductors">NXP</a></li> <li><a href="/wiki/Onsemi" title="Onsemi">onsemi</a></li> <li><a href="/wiki/Qorvo" title="Qorvo">Qorvo</a></li> <li><a href="/wiki/Renesas_Electronics" title="Renesas Electronics">Renesas</a></li> <li><a href="/wiki/Samsung_Electronics" title="Samsung Electronics">Samsung</a></li> <li><a href="/wiki/SK_Hynix" title="SK Hynix">SK Hynix</a></li> <li><a href="/wiki/STMicroelectronics" title="STMicroelectronics">STMicroelectronics</a></li> <li><a href="/wiki/Texas_Instruments" title="Texas Instruments">Texas Instruments</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Fabless_manufacturing" title="Fabless manufacturing">Fabless</a></th><td class="navbox-list-with-group navbox-list navbox-even" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/AMD" title="AMD">AMD</a></li> <li><a href="/wiki/Apple_Inc." title="Apple Inc.">Apple</a></li> <li><a href="/wiki/Arm_Holdings" title="Arm Holdings">Arm</a></li> <li><a href="/wiki/Broadcom" title="Broadcom">Broadcom</a></li> <li><a href="/wiki/Marvell_Technology" title="Marvell Technology">Marvell</a></li> <li><a href="/wiki/MediaTek" title="MediaTek">MediaTek</a></li> <li><a href="/wiki/Nvidia" title="Nvidia">Nvidia</a></li> <li><a href="/wiki/Qualcomm" title="Qualcomm">Qualcomm</a></li> <li><a href="/wiki/Skyworks_Solutions" title="Skyworks Solutions">Skyworks Solutions</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Foundry_model" title="Foundry model">Foundry</a></th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/GlobalFoundries" title="GlobalFoundries">GlobalFoundries</a></li> <li><a href="/wiki/Samsung_Electronics#Semiconductors" title="Samsung Electronics">Samsung Foundry</a></li> <li><a href="/wiki/Semiconductor_Manufacturing_International_Corporation" title="Semiconductor Manufacturing International Corporation">SMIC</a></li> <li><a class="mw-selflink selflink">TSMC</a></li> <li><a href="/wiki/United_Microelectronics_Corporation" title="United Microelectronics Corporation">UMC</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Semiconductor_consolidation" title="Semiconductor consolidation">OSAT</a></th><td class="navbox-list-with-group navbox-list navbox-even" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Amkor_Technology" title="Amkor Technology">Amkor Technology</a></li> <li><a href="/wiki/ASE_Group" title="ASE Group">ASE</a></li> <li><a href="/wiki/JCET_(company)" title="JCET (company)">JCET</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Equipment</th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Applied_Materials" title="Applied Materials">Applied Materials</a></li> <li><a href="/wiki/ASML_Holding" title="ASML Holding">ASML</a></li> <li><a href="/wiki/KLA_Corporation" title="KLA Corporation">KLA</a></li> <li><a href="/wiki/Lam_Research" title="Lam Research">Lam Research</a></li> <li><a href="/wiki/Tokyo_Electron" title="Tokyo Electron">Tokyo Electron</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Software</th><td class="navbox-list-with-group navbox-list navbox-even" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Cadence_Design_Systems" title="Cadence Design Systems">Cadence</a></li> <li><a href="/wiki/Synopsys" title="Synopsys">Synopsys</a></li></ul> </div></td></tr></tbody></table><div></div></td></tr></tbody></table></div> <div class="navbox-styles"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374" /><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1236075235" /></div><div role="navigation" class="navbox" aria-labelledby="S&amp;amp;P_Asia_50_companies74" style="padding:3px"><table class="nowraplinks mw-collapsible mw-collapsed navbox-inner" style="border-spacing:0;background:transparent;color:inherit"><tbody><tr><th scope="col" class="navbox-title" colspan="2"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374" /><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1239400231" /><div class="navbar plainlinks hlist navbar-mini"><ul><li class="nv-view"><a href="/wiki/Template:S%26P_Asia_50" title="Template:S&amp;P Asia 50"><abbr title="View this template">v</abbr></a></li><li class="nv-talk"><a href="/w/index.php?title=Template_talk:S%26P_Asia_50&amp;action=edit&amp;redlink=1" class="new" title="Template talk:S&amp;P Asia 50 (page does not exist)"><abbr title="Discuss this template">t</abbr></a></li><li class="nv-edit"><a href="/wiki/Special:EditPage/Template:S%26P_Asia_50" title="Special:EditPage/Template:S&amp;P Asia 50"><abbr title="Edit this template">e</abbr></a></li></ul></div><div id="S&amp;amp;P_Asia_50_companies74" style="font-size:114%;margin:0 4em"><a href="/wiki/S%26P_Asia_50" title="S&amp;P Asia 50">S&amp;P Asia 50</a> companies</div></th></tr><tr><th scope="row" class="navbox-group" style="width:1%">Mainland China</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Bank_of_China" title="Bank of China">Bank of China</a></li> <li><a href="/wiki/China_Construction_Bank" title="China Construction Bank">China Construction Bank</a></li> <li><a href="/wiki/China_Life_Insurance_Company" title="China Life Insurance Company">China Life Insurance Company</a></li> <li><a href="/wiki/China_Merchants_Bank" title="China Merchants Bank">China Merchants Bank</a></li> <li><a href="/wiki/China_Mobile" title="China Mobile">China Mobile</a></li> <li><a href="/wiki/China_Overseas_Land_and_Investment" title="China Overseas Land and Investment">China Overseas Land and Investment</a></li> <li><a href="/wiki/China_Petroleum_%26_Chemical" class="mw-redirect" title="China Petroleum &amp; Chemical">China Petroleum &amp; Chemical</a></li> <li><a href="/wiki/CNOOC_Limited" title="CNOOC Limited">CNOOC Limited</a></li> <li><a href="/wiki/Industrial_and_Commercial_Bank_of_China" title="Industrial and Commercial Bank of China">Industrial and Commercial Bank of China</a></li> <li><a href="/wiki/Meituan-Dianping" class="mw-redirect" title="Meituan-Dianping">Meituan-Dianping</a></li> <li><a href="/wiki/PetroChina" title="PetroChina">PetroChina</a></li> <li><a href="/wiki/Ping_An_Insurance" title="Ping An Insurance">Ping An Insurance</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Hong Kong</th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/AIA_Group" title="AIA Group">AIA Group</a></li> <li><a href="/wiki/CK_Asset_Holdings" title="CK Asset Holdings">CK Asset Holdings</a></li> <li><a href="/wiki/CK_Hutchison_Holdings" title="CK Hutchison Holdings">CK Hutchison Holdings</a></li> <li><a href="/wiki/CLP_Holdings" class="mw-redirect" title="CLP Holdings">CLP Holdings</a></li> <li><a href="/wiki/Galaxy_Entertainment_Group" title="Galaxy Entertainment Group">Galaxy Entertainment Group</a></li> <li><a href="/wiki/Hang_Seng_Bank" title="Hang Seng Bank">Hang Seng Bank</a></li> <li><a href="/wiki/Hong_Kong_and_China_Gas" class="mw-redirect" title="Hong Kong and China Gas">Hong Kong and China Gas</a></li> <li><a href="/wiki/Hong_Kong_Exchanges_and_Clearing" title="Hong Kong Exchanges and Clearing">Hong Kong Exchanges and Clearing</a></li> <li><a href="/wiki/Link_Real_Estate_Investment_Trust" class="mw-redirect" title="Link Real Estate Investment Trust">Link Real Estate Investment Trust</a></li> <li><a href="/wiki/Sands_China" title="Sands China">Sands China</a></li> <li><a href="/wiki/Sun_Hung_Kai_Properties" title="Sun Hung Kai Properties">Sun Hung Kai Properties</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Singapore</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/DBS_Bank" title="DBS Bank">DBS Group</a></li> <li><a href="/wiki/Oversea-Chinese_Banking" class="mw-redirect" title="Oversea-Chinese Banking">Oversea-Chinese Banking</a></li> <li><a href="/wiki/United_Overseas_Bank" title="United Overseas Bank">United Overseas Bank</a></li> <li><a href="/wiki/Singapore_Telecommunications" class="mw-redirect" title="Singapore Telecommunications">Singapore Telecommunications</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">South Korea</th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Celltrion" title="Celltrion">Celltrion</a></li> <li><a href="/wiki/Hyundai_Mobis" title="Hyundai Mobis">Hyundai Mobis</a></li> <li><a href="/wiki/Hyundai_Motor_Company" title="Hyundai Motor Company">Hyundai Motor Company</a></li> <li><a href="/wiki/KB_Financial_Group" title="KB Financial Group">KB Financial Group</a></li> <li><a href="/wiki/KT%26G" class="mw-redirect" title="KT&amp;G">KT&amp;G</a></li> <li><a href="/wiki/LG_Chem" title="LG Chem">LG Chem</a></li> <li><a href="/wiki/Naver" title="Naver">Naver</a></li> <li><a href="/wiki/POSCO" title="POSCO">POSCO</a></li> <li><a href="/wiki/Samsung_Electronics" title="Samsung Electronics">Samsung Electronics</a></li> <li><a href="/wiki/SK_Hynix" title="SK Hynix">SK Hynix</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Taiwan</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Largan_Precision" title="Largan Precision">Largan Precision</a></li> <li><a href="/wiki/Cathay_Financial_Holding" class="mw-redirect" title="Cathay Financial Holding">Cathay Financial Holding</a></li> <li><a href="/wiki/CTBC_Financial_Holding" title="CTBC Financial Holding">CTBC Financial Holding</a></li> <li><a href="/wiki/Formosa_Plastics" class="mw-redirect" title="Formosa Plastics">Formosa Plastics</a></li> <li><a href="/wiki/Foxconn" title="Foxconn">Hon Hai Precision Industry</a></li> <li><a href="/wiki/MediaTek" title="MediaTek">MediaTek</a></li> <li><a class="mw-selflink selflink">TSMC</a></li></ul> </div></td></tr></tbody></table></div> <div class="navbox-styles"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374" /><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1236075235" /></div><div role="navigation" class="navbox" aria-labelledby="DJSI_World_companies83" style="padding:3px"><table class="nowraplinks mw-collapsible autocollapse navbox-inner" style="border-spacing:0;background:transparent;color:inherit"><tbody><tr><th scope="col" class="navbox-title" colspan="2"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374" /><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1239400231" /><div class="navbar plainlinks hlist navbar-mini"><ul><li class="nv-view"><a href="/wiki/Template:DJSI_World" title="Template:DJSI World"><abbr title="View this template">v</abbr></a></li><li class="nv-talk"><a href="/wiki/Template_talk:DJSI_World" title="Template talk:DJSI World"><abbr title="Discuss this template">t</abbr></a></li><li class="nv-edit"><a href="/wiki/Special:EditPage/Template:DJSI_World" title="Special:EditPage/Template:DJSI World"><abbr title="Edit this template">e</abbr></a></li></ul></div><div id="DJSI_World_companies83" style="font-size:114%;margin:0 4em"><a href="/wiki/Dow_Jones_Sustainability_Indices" title="Dow Jones Sustainability Indices">DJSI World</a> companies</div></th></tr><tr><th scope="row" class="navbox-group" style="width:1%">Americas</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Abbott_Laboratories" title="Abbott Laboratories">Abbott Laboratories</a></li> <li><a href="/wiki/AbbVie" title="AbbVie">AbbVie</a></li> <li><a href="/wiki/Adobe_Inc" class="mw-redirect" title="Adobe Inc">Adobe</a></li> <li><a href="/wiki/Agilent_Technologies" title="Agilent Technologies">Agilent Technologies</a></li> <li><a href="/wiki/Alphabet_Inc" class="mw-redirect" title="Alphabet Inc">Alphabet</a></li> <li><a href="/wiki/American_Airlines_Group" title="American Airlines Group">American Airlines Group</a></li> <li><a href="/wiki/Autodesk" title="Autodesk">Autodesk</a></li> <li><a href="/wiki/Banco_Bradesco" title="Banco Bradesco">Banco Bradesco</a></li> <li><a href="/wiki/Banco_do_Brasil" title="Banco do Brasil">Banco do Brasil</a></li> <li><a href="/wiki/Bancolombia" title="Bancolombia">Bancolombia</a></li> <li><a href="/wiki/Biogen" title="Biogen">Biogen</a></li> <li><a href="/wiki/Canadian_National" class="mw-redirect" title="Canadian National">Canadian National</a></li> <li><a href="/wiki/CPKC" class="mw-redirect" title="CPKC">CPKC</a></li> <li><a href="/wiki/Cisco" title="Cisco">Cisco</a></li> <li><a href="/wiki/Companhia_Energ%C3%A9tica_de_Minas_Gerais_-_CEMIG" class="mw-redirect" title="Companhia Energética de Minas Gerais - CEMIG">Companhia Energética de Minas Gerais - CEMIG</a></li> <li><a href="/wiki/Cummins" title="Cummins">Cummins</a></li> <li><a href="/wiki/CVS_Health_Corporation" class="mw-redirect" title="CVS Health Corporation">CVS Health Corporation</a></li> <li><a href="/wiki/DaVita" title="DaVita">DaVita</a></li> <li><a href="/wiki/Dow_Chemical_Company" title="Dow Chemical Company">Dow</a></li> <li><a href="/wiki/EBay" title="EBay">eBay</a></li> <li><a href="/wiki/Ecolab" title="Ecolab">Ecolab</a></li> <li><a href="/wiki/Edwards_Lifesciences_Corporation" class="mw-redirect" title="Edwards Lifesciences Corporation">Edwards Lifesciences Corporation</a></li> <li><a href="/wiki/Elevance_Health" title="Elevance Health">Elevance Health</a></li> <li><a href="/wiki/Falabella_(retail_store)" title="Falabella (retail store)">Palabella</a></li> <li><a href="/wiki/Fortinet" title="Fortinet">Fortinet</a></li> <li><a href="/wiki/General_Mills" title="General Mills">General Mills</a></li> <li><a href="/wiki/Gilead_Sciences" title="Gilead Sciences">Gilead Sciences</a></li> <li><a href="/wiki/Grupo_Argos" title="Grupo Argos">Grupo Argos</a></li> <li><a href="/wiki/Grupo_Sura" title="Grupo Sura">Grupo Sura</a></li> <li><a href="/wiki/Grupo_Nutresa" title="Grupo Nutresa">Grupo Nutresa</a></li> <li><a href="/wiki/Healthpeak_Properties" title="Healthpeak Properties">Healthpeak Properties</a></li> <li><a href="/wiki/Hess_Corporation" title="Hess Corporation">Hess Corporation</a></li> <li><a href="/wiki/Hilton_Worldwide" title="Hilton Worldwide">Hilton Worldwide</a></li> <li><a href="/wiki/Host_Hotels_%26_Resorts" title="Host Hotels &amp; Resorts">Host Hotels &amp; Resorts</a></li> <li><a href="/wiki/HP_Inc" class="mw-redirect" title="HP Inc">HP</a></li> <li><a href="/wiki/Illumina,_Inc." title="Illumina, Inc.">Illumina, Inc.</a></li> <li><a href="/wiki/Ingersoll_Rand" title="Ingersoll Rand">Ingersoll Rand</a></li> <li><a href="/wiki/Ita%C3%BA_Unibanco" title="Itaú Unibanco">Itaú Unibanco</a></li> <li><a href="/wiki/Ita%C3%BAsa" title="Itaúsa">Itaúsa</a></li> <li><a href="/wiki/Jacobs_Solutions" title="Jacobs Solutions">Jacobs Solutions</a></li> <li><a href="/wiki/Kinross_Gold_Corporation" class="mw-redirect" title="Kinross Gold Corporation">Kinross Gold Corporation</a></li> <li><a href="/wiki/Klabin" title="Klabin">Klabin</a></li> <li><a href="/wiki/Las_Vegas_Sands" title="Las Vegas Sands">Las Vegas Sands</a></li> <li><a href="/wiki/Lockheed_Martin" title="Lockheed Martin">Lockheed Martin</a></li> <li><a href="/wiki/Lojas_Renner" title="Lojas Renner">Lojas Renner</a></li> <li><a href="/wiki/Medtronic" title="Medtronic">Medtronic</a></li> <li><a href="/wiki/Microsoft" title="Microsoft">Microsoft</a></li> <li><a href="/wiki/Mondelez_International" title="Mondelez International">Mondelez International</a></li> <li><a href="/wiki/Moody%27s_Corporation" title="Moody&#39;s Corporation">Moody's Corporation</a></li> <li><a href="/wiki/Newmont" title="Newmont">Newmont</a></li> <li><a href="/wiki/Northrop_Grumman" title="Northrop Grumman">Northrop Grumman</a></li> <li><a href="/wiki/Oshkosh_Corporation" title="Oshkosh Corporation">Oshkosh Corporation</a></li> <li><a href="/wiki/Owens_Corning" title="Owens Corning">Owens Corning</a></li> <li><a href="/wiki/Philip_Morris_International" title="Philip Morris International">Philip Morris International</a></li> <li><a href="/wiki/Prologis" title="Prologis">Prologis</a></li> <li><a href="/wiki/Regeneron_Pharmaceuticals" title="Regeneron Pharmaceuticals">Regeneron Pharmaceuticals</a></li> <li><a href="/wiki/Republic_Services" title="Republic Services">Republic Services</a></li> <li><a href="/wiki/Rumo_Log%C3%ADstica" title="Rumo Logística">Rumo Logística</a></li> <li><a href="/wiki/S%26P_Global" title="S&amp;P Global">S&amp;P Global</a></li> <li><a href="/wiki/Salesforce" title="Salesforce">Salesforce</a></li> <li><a href="/wiki/ServiceNow" title="ServiceNow">ServiceNow</a></li> <li><a href="/wiki/Snap_Inc" class="mw-redirect" title="Snap Inc">Snap Inc</a></li> <li><a href="/wiki/Sociedad_Qu%C3%ADmica_y_Minera" title="Sociedad Química y Minera">Sociedad Química y Minera</a></li> <li><a href="/wiki/Spirit_AeroSystems" title="Spirit AeroSystems">Spirit AeroSystems</a></li> <li><a href="/wiki/Teck_Resources" title="Teck Resources">Teck Resources</a></li> <li><a href="/wiki/The_Cigna_Group" class="mw-redirect" title="The Cigna Group">The Cigna Group</a></li> <li><a href="/wiki/Gap_Inc." title="Gap Inc.">Gap Inc.</a></li> <li><a href="/wiki/The_Williams_Companies" class="mw-redirect" title="The Williams Companies">The Williams Companies</a></li> <li><a href="/wiki/Union_Pacific_Corporation" title="Union Pacific Corporation">Union Pacific Corporation</a></li> <li><a href="/wiki/UnitedHealth_Group" title="UnitedHealth Group">UnitedHealth Group</a></li> <li><a href="/wiki/Waste_Management,_Inc." title="Waste Management, Inc.">Waste Management, Inc.</a></li> <li><a href="/wiki/Whirlpool_Corporation" title="Whirlpool Corporation">Whirlpool Corporation</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Europe</th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Aberdeen_Group" title="Aberdeen Group">Aberdeen Group</a></li> <li><a href="/wiki/Acciona" title="Acciona">Acciona</a></li> <li><a href="/wiki/AENA" class="mw-redirect" title="AENA">AENA</a></li> <li><a href="/wiki/Allianz" title="Allianz">Allianz</a></li> <li><a href="/wiki/Alstom" title="Alstom">Alstom</a></li> <li><a href="/wiki/Amadeus_IT_Group" title="Amadeus IT Group">Amadeus IT Group</a></li> <li><a href="/wiki/Anglo_American_plc" title="Anglo American plc">Anglo American plc</a></li> <li><a href="/wiki/Arkema" title="Arkema">Arkema</a></li> <li><a href="/wiki/ASML_Holding" title="ASML Holding">ASML Holding</a></li> <li><a href="/wiki/ASR_Nederland" title="ASR Nederland">ASR Nederland</a></li> <li><a href="/wiki/Assicurazioni_Generali_S.p.A." class="mw-redirect" title="Assicurazioni Generali S.p.A.">Assicurazioni Generali S.p.A.</a></li> <li><a href="/wiki/Aviva" title="Aviva">Aviva</a></li> <li><a href="/wiki/Axa" title="Axa">Axa</a></li> <li><a href="/wiki/Banco_Bilbao_Vizcaya_Argentaria" title="Banco Bilbao Vizcaya Argentaria">Banco Bilbao Vizcaya Argentaria</a></li> <li><a href="/wiki/Banco_Santander" title="Banco Santander">Banco Santander</a></li> <li><a href="/wiki/Bankinter" title="Bankinter">Bankinter</a></li> <li><a href="/wiki/Billerud" title="Billerud">Billerud</a></li> <li><a href="/wiki/BioM%C3%A9rieux" title="BioMérieux">bioMérieux</a></li> <li><a href="/wiki/BNP_Paribas_SA" class="mw-redirect" title="BNP Paribas SA">BNP Paribas SA</a></li> <li><a href="/wiki/Bureau_Veritas" title="Bureau Veritas">Bureau Veritas</a></li> <li><a href="/wiki/CaixaBank" title="CaixaBank">CaixaBank</a></li> <li><a href="/wiki/Carrefour_SA" class="mw-redirect" title="Carrefour SA">Carrefour SA</a></li> <li>Castellum</li> <li><a href="/wiki/CNH_Industrial" title="CNH Industrial">CNH Industrial</a></li> <li><a href="/wiki/Coca-Cola_HBC" title="Coca-Cola HBC">Coca-Cola HBC</a></li> <li><a href="/wiki/Covivio" title="Covivio">Covivio</a></li> <li><a href="/wiki/Dassault_Syst%C3%A8mes" title="Dassault Systèmes">Dassault Systèmes</a></li> <li><a href="/wiki/Deutsche_B%C3%B6rse" title="Deutsche Börse">Deutsche Börse</a></li> <li><a href="/wiki/Deutsche_Post" title="Deutsche Post">Deutsche Post</a></li> <li><a href="/wiki/Deutsche_Telekom" title="Deutsche Telekom">Deutsche Telekom</a></li> <li><a href="/wiki/Diageo" title="Diageo">Diageo</a></li> <li><a href="/wiki/EDP_Group" title="EDP Group">EDP Group</a></li> <li><a href="/wiki/Enag%C3%A1s" title="Enagás">Enagás</a></li> <li><a href="/wiki/Endesa" title="Endesa">Endesa</a></li> <li><a href="/wiki/Enel_SpA" class="mw-redirect" title="Enel SpA">Enel SpA</a></li> <li><a href="/wiki/Engie" title="Engie">Engie</a></li> <li><a href="/wiki/EQT_AB" title="EQT AB">EQT</a></li> <li><a href="/wiki/Exxaro" title="Exxaro">Exxaro</a></li> <li><a href="/wiki/Ferrovial" title="Ferrovial">Ferrovial</a></li> <li><a href="/wiki/Galp_Energia" title="Galp Energia">Galp Energia</a></li> <li><a href="/wiki/GEA_Group" title="GEA Group">GEA Group</a></li> <li><a href="/wiki/Gold_Fields" title="Gold Fields">Gold Fields</a></li> <li><a href="/wiki/Grifols" title="Grifols">Grifols</a></li> <li><a href="/wiki/GSK_plc" title="GSK plc">GSK</a></li> <li><a href="/wiki/H%26M" title="H&amp;M">H&amp;M</a></li> <li><a href="/wiki/Hera_Group" title="Hera Group">Hera Group</a></li> <li><a href="/wiki/Hochtief" title="Hochtief">Hochtief</a></li> <li><a href="/wiki/Hugo_Boss" title="Hugo Boss">Hugo Boss</a></li> <li><a href="/wiki/Iberdrola" title="Iberdrola">Iberdrola</a></li> <li><a href="/wiki/Indra_Sistemas" title="Indra Sistemas">Indra Sistemas</a></li> <li><a href="/wiki/Inditex" title="Inditex">Inditex</a></li> <li><a href="/wiki/Infineon_Technologies" title="Infineon Technologies">Infineon Technologies</a></li> <li><a href="/wiki/Informa" title="Informa">Informa</a></li> <li><a href="/wiki/International_Distributions_Services" class="mw-redirect" title="International Distributions Services">International Distributions Services</a></li> <li><a href="/wiki/Intesa_Sanpaolo" title="Intesa Sanpaolo">Intesa Sanpaolo</a></li> <li><a href="/wiki/Italgas" title="Italgas">Italgas</a></li> <li><a href="/wiki/Iveco" title="Iveco">Iveco</a></li> <li><a href="/wiki/Kering" title="Kering">Kering</a></li> <li><a href="/wiki/Kesko_Oyj" class="mw-redirect" title="Kesko Oyj">Kesko Oyj</a></li> <li><a href="/wiki/Ahold_Delhaize" title="Ahold Delhaize">Ahold Delhaize</a></li> <li><a href="/wiki/Landsec" title="Landsec">Landsec</a></li> <li><a href="/wiki/Lanxess" title="Lanxess">Lanxess</a></li> <li><a href="/wiki/Leonardo_(company)" title="Leonardo (company)">Leonardo (company)</a></li> <li><a href="/wiki/Linde_plc" title="Linde plc">Linde</a></li> <li><a href="/wiki/Merlin_Properties" title="Merlin Properties">Merlin Properties</a></li> <li><a href="/wiki/Metro_AG" title="Metro AG">Metro</a></li> <li><a href="/wiki/Moncler" title="Moncler">Moncler</a></li> <li><a href="/wiki/M%C3%BCnchener_R%C3%BCckversicherungs-Gesellschaft_Aktiengesellschaft" class="mw-redirect" title="Münchener Rückversicherungs-Gesellschaft Aktiengesellschaft">Münchener Rückversicherungs-Gesellschaft Aktiengesellschaft</a></li> <li><a href="/wiki/Neste_Oyj" class="mw-redirect" title="Neste Oyj">Neste Oyj</a></li> <li><a href="/wiki/Nexi" title="Nexi">Nexi</a></li> <li><a href="/wiki/NN_Group" title="NN Group">NN Group</a></li> <li><a href="/wiki/OMV_Aktiengesellschaft" class="mw-redirect" title="OMV Aktiengesellschaft">OMV Aktiengesellschaft</a></li> <li><a href="/wiki/Partners_Group" title="Partners Group">Partners Group</a></li> <li><a href="/wiki/Pearson_plc" title="Pearson plc">Pearson</a></li> <li><a href="/wiki/Pirelli" title="Pirelli">Pirelli</a></li> <li><a href="/wiki/Poste_Italiane" title="Poste Italiane">Poste Italiane</a></li> <li><a href="/wiki/PostNL" title="PostNL">PostNL</a></li> <li><a href="/wiki/Prysmian" class="mw-redirect" title="Prysmian">Prysmian</a></li> <li><a href="/wiki/Publicis_Groupe" class="mw-redirect" title="Publicis Groupe">Publicis Groupe</a></li> <li><a href="/wiki/Randstad_NV" title="Randstad NV">Randstad NV</a></li> <li><a href="/wiki/Reckitt_Benckiser_Group" class="mw-redirect" title="Reckitt Benckiser Group">Reckitt Benckiser Group</a></li> <li><a href="/wiki/Red_El%C3%A9ctrica_Corporaci%C3%B3n" class="mw-redirect" title="Red Eléctrica Corporación">Red Eléctrica Corporación</a></li> <li><a href="/wiki/Relx" class="mw-redirect" title="Relx">Relx</a></li> <li><a href="/wiki/Rexel" title="Rexel">Rexel</a></li> <li><a href="/wiki/Roche" title="Roche">Roche</a></li> <li><a href="/wiki/Rolls-Royce_Holdings" title="Rolls-Royce Holdings">Rolls-Royce Holdings</a></li> <li><a href="/wiki/Saipem" title="Saipem">Saipem</a></li> <li><a href="/wiki/Sanofi" title="Sanofi">Sanofi</a></li> <li><a href="/wiki/SAP" title="SAP">SAP</a></li> <li><a href="/wiki/Schneider_Electric" title="Schneider Electric">Schneider Electric</a></li> <li><a href="/wiki/SGS_S.A." title="SGS S.A.">SGS</a></li> <li><a href="/wiki/Siemens_Aktiengesellschaft" class="mw-redirect" title="Siemens Aktiengesellschaft">Siemens Aktiengesellschaft</a></li> <li><a href="/wiki/Signify_N.V." title="Signify N.V.">Signify</a></li> <li><a href="/wiki/Snam" title="Snam">Snam</a></li> <li><a href="/wiki/Sodexo" title="Sodexo">Sodexo</a></li> <li><a href="/wiki/Sonova" title="Sonova">Sonova</a></li> <li><a href="/wiki/STMicroelectronics" title="STMicroelectronics">STMicroelectronics</a></li> <li><a href="/wiki/Storebrand" title="Storebrand">Storebrand</a></li> <li><a href="/wiki/Temenos_AG" title="Temenos AG">Temenos AG</a></li> <li><a href="/wiki/Terna_Group" title="Terna Group">Terna Group</a></li> <li><a href="/wiki/TF1" title="TF1">TF1</a></li> <li><a href="/wiki/TotalEnergies" title="TotalEnergies">TotalEnergies</a></li> <li><a href="/wiki/Trane_Technologies" title="Trane Technologies">Trane Technologies</a></li> <li><a href="/wiki/UBS_Group" class="mw-redirect" title="UBS Group">UBS Group</a></li> <li><a href="/wiki/United_Utilities" title="United Utilities">United Utilities</a></li> <li><a href="/wiki/UPM-Kymmene_Oyj" class="mw-redirect" title="UPM-Kymmene Oyj">UPM-Kymmene Oyj</a></li> <li><a href="/wiki/Valmet_Oyj" class="mw-redirect" title="Valmet Oyj">Valmet Oyj</a></li> <li><a href="/wiki/Veolia" title="Veolia">Veolia</a></li> <li><a href="/wiki/Vestas_Wind_Systems" class="mw-redirect" title="Vestas Wind Systems">Vestas Wind Systems</a></li> <li><a href="/wiki/Viaplay_Group" title="Viaplay Group">Viaplay Group</a></li> <li><a href="/wiki/Tony_De_Pauw" title="Tony De Pauw">Warehouses De Pauw</a></li> <li><a href="/wiki/Wendel_(group)" title="Wendel (group)">Wendel</a></li> <li><a href="/wiki/WH_Smith" class="mw-redirect" title="WH Smith">WH Smith</a></li> <li><a href="/wiki/Worldline_SA" title="Worldline SA">Worldline SA</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Asia Pacific</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Advanced_Info_Service" title="Advanced Info Service">Advanced Info Service</a></li> <li>Advantech Co</li> <li><a href="/wiki/Airports_of_Thailand_Public_Company_Limited" class="mw-redirect" title="Airports of Thailand Public Company Limited">Airports of Thailand Public Company Limited</a></li> <li><a href="/wiki/Ajinomoto_Co.,_Inc." class="mw-redirect" title="Ajinomoto Co., Inc.">Ajinomoto Co., Inc.</a></li> <li><a href="/wiki/ANA_Holdings" class="mw-redirect" title="ANA Holdings">ANA Holdings</a></li> <li><a href="/wiki/ANZ_Bank" class="mw-redirect" title="ANZ Bank">ANZ Bank</a></li> <li><a href="/wiki/ASE_Group" title="ASE Group">ASE Group</a></li> <li><a href="/wiki/Bangkok_Dusit_Medical_Services" title="Bangkok Dusit Medical Services">Bangkok Dusit Medical Services</a></li> <li><a href="/wiki/Brambles_Limited" title="Brambles Limited">Brambles Limited</a></li> <li><a href="/wiki/Bridgestone" title="Bridgestone">Bridgestone</a></li> <li><a href="/wiki/CapitaLand" title="CapitaLand">CapitaLand</a></li> <li><a href="/wiki/Cathay_United_Bank" title="Cathay United Bank">Cathay United Bank</a></li> <li><a href="/wiki/Central_Pattana" title="Central Pattana">Central Pattana</a></li> <li><a href="/wiki/Central_Retail_Corporation" class="mw-redirect" title="Central Retail Corporation">Central Retail Corporation</a></li> <li><a href="/wiki/HSBC_Bank_(Taiwan)" title="HSBC Bank (Taiwan)">Chailease Holding Company</a></li> <li><a href="/wiki/Chang_Hwa_Bank" title="Chang Hwa Bank">Chang Hwa Bank</a></li> <li><a href="/wiki/KGI_Financial_Holding" title="KGI Financial Holding">KGI Financial Holding</a></li> <li><a href="/wiki/Chugai_Pharmaceutical_Co." title="Chugai Pharmaceutical Co.">Chugai Pharmaceutical Co.</a></li> <li><a href="/wiki/Chunghwa_Telecom_Co.,_Ltd." class="mw-redirect" title="Chunghwa Telecom Co., Ltd.">Chunghwa Telecom Co., Ltd.</a></li> <li><a href="/wiki/CP_All" title="CP All">CP All</a></li> <li><a href="/wiki/CTBC_Financial_Holding" title="CTBC Financial Holding">CTBC Financial Holding</a></li> <li><a href="/wiki/Delta_Electronics" title="Delta Electronics">Delta Electronics (Thailand) Public Company Limited</a></li> <li><a href="/wiki/Delta_Electronics" title="Delta Electronics">Delta Electronics</a>, Inc.</li> <li><a href="/wiki/Dentsu" title="Dentsu">Dentsu</a></li> <li><a href="/wiki/Dexus" title="Dexus">Dexus</a></li> <li><a href="/wiki/Doosan_Enerbility" title="Doosan Enerbility">Doosan Enerbility</a></li> <li><a href="/wiki/Dr._Reddy%27s_Laboratories_Limited" class="mw-redirect" title="Dr. Reddy&#39;s Laboratories Limited">Dr. Reddy's Laboratories Limited</a></li> <li><a href="/wiki/E_Ink" title="E Ink">E Ink</a></li> <li><a href="/wiki/E.SUN_Commercial_Bank" title="E.SUN Commercial Bank">E.SUN Commercial Bank</a></li> <li><a href="/wiki/Far_EasTone" title="Far EasTone">Far EasTone</a></li> <li>First Financial</li> <li><a href="/wiki/Fortescue_(company)" title="Fortescue (company)">Fortescue (company)</a></li> <li><a href="/wiki/Fubon_Financial_Holding_Co.,_Ltd." class="mw-redirect" title="Fubon Financial Holding Co., Ltd.">Fubon Financial Holding Co., Ltd.</a></li> <li><a href="/wiki/Fujitsu" title="Fujitsu">Fujitsu</a></li> <li><a href="/wiki/GPT_Group" title="GPT Group">GPT Group</a></li> <li><a href="/wiki/Hana_Financial_Group_Inc." class="mw-redirect" title="Hana Financial Group Inc.">Hana Financial Group Inc.</a></li> <li><a href="/wiki/Hindalco_Industries" title="Hindalco Industries">Hindalco Industries</a></li> <li><a href="/wiki/Honda" title="Honda">Honda</a></li> <li><a href="/wiki/Hyundai_Engineering_%26_Construction" title="Hyundai Engineering &amp; Construction">Hyundai Engineering &amp; Construction</a></li> <li><a href="/wiki/Hyundai_Glovis" title="Hyundai Glovis">Hyundai Glovis</a></li> <li><a href="/wiki/Hyundai_Mobis" title="Hyundai Mobis">Hyundai Mobis</a></li> <li><a href="/wiki/Hyundai_Motor_Company" title="Hyundai Motor Company">Hyundai Motor Company</a></li> <li><a href="/wiki/Hyundai_Steel" title="Hyundai Steel">Hyundai Steel</a></li> <li><a href="/wiki/Indorama_Ventures_Public_Company_Limited" class="mw-redirect" title="Indorama Ventures Public Company Limited">Indorama Ventures Public Company Limited</a></li> <li><a href="/wiki/InnoLux_Corporation" title="InnoLux Corporation">InnoLux Corporation</a></li> <li><a href="/wiki/ITOCHU_Corporation" class="mw-redirect" title="ITOCHU Corporation">ITOCHU Corporation</a></li> <li><a href="/wiki/JD.com" title="JD.com">JD.com</a></li> <li><a href="/wiki/JSW_Steel" title="JSW Steel">JSW Steel</a></li> <li><a href="/wiki/Kakao_Corp." class="mw-redirect" title="Kakao Corp.">Kakao Corp.</a></li> <li><a href="/wiki/Kanzhun_Limited" title="Kanzhun Limited">Kanzhun Limited</a></li> <li><a href="/wiki/Kasikornbank" title="Kasikornbank">Kasikornbank</a></li> <li><a href="/wiki/KB_Financial_Group" title="KB Financial Group">KB Financial Group</a></li> <li><a href="/wiki/Keppel_Corporation_Limited" class="mw-redirect" title="Keppel Corporation Limited">Keppel Corporation Limited</a></li> <li><a href="/wiki/Kia_Corporation" class="mw-redirect" title="Kia Corporation">Kia Corporation</a></li> <li><a href="/wiki/Komatsu_Limited" title="Komatsu Limited">Komatsu Limited</a></li> <li><a href="/wiki/LG_Electronics" title="LG Electronics">LG Electronics</a></li> <li><a href="/wiki/LG_Household_%26_Health_Care" title="LG Household &amp; Health Care">LG Household &amp; Health Care</a></li> <li><a href="/wiki/Link_Real_Estate_Investment_Trust" class="mw-redirect" title="Link Real Estate Investment Trust">Link Real Estate Investment Trust</a></li> <li><a href="/wiki/Lite-On" title="Lite-On">Lite-On</a></li> <li><a href="/wiki/Lixil_Group" title="Lixil Group">Lixil Group</a></li> <li><a href="/wiki/LY_Corporation" title="LY Corporation">LY Corporation</a></li> <li><a href="/wiki/Mahindra_%26_Mahindra_Limited" class="mw-redirect" title="Mahindra &amp; Mahindra Limited">Mahindra &amp; Mahindra Limited</a></li> <li><a href="/wiki/Marui" title="Marui">Marui</a></li> <li><a href="/wiki/Mega_International_Commercial_Bank" title="Mega International Commercial Bank">Mega International Commercial Bank</a></li> <li><a href="/wiki/Meiji_Holdings" title="Meiji Holdings">Meiji Holdings</a></li> <li><a href="/wiki/Mirae_Asset_Securities" title="Mirae Asset Securities">Mirae Asset Securities</a></li> <li><a href="/wiki/Mitsubishi_Chemical_Group" title="Mitsubishi Chemical Group">Mitsubishi Chemical Group</a></li> <li><a href="/wiki/Mitsubishi_Heavy_Industries" title="Mitsubishi Heavy Industries">Mitsubishi Heavy Industries</a></li> <li><a href="/wiki/Mitsui_%26_Co" class="mw-redirect" title="Mitsui &amp; Co">Mitsui &amp; Co</a></li> <li><a href="/wiki/Nabtesco_Corporation" class="mw-redirect" title="Nabtesco Corporation">Nabtesco Corporation</a></li> <li><a href="/wiki/Nanya_Technology_Corporation" class="mw-redirect" title="Nanya Technology Corporation">Nanya Technology Corporation</a></li> <li><a href="/wiki/National_Australia_Bank_Limited" class="mw-redirect" title="National Australia Bank Limited">National Australia Bank Limited</a></li> <li><a href="/wiki/Nikon_Corporation" class="mw-redirect" title="Nikon Corporation">Nikon Corporation</a></li> <li>Nippon <a href="/wiki/Prologis" title="Prologis">Prologis</a></li> <li><a href="/wiki/Nissin_Foods" title="Nissin Foods">Nissin Foods</a></li> <li><a href="/wiki/Nomura_Holdings" title="Nomura Holdings">Nomura Holdings</a></li> <li><a href="/wiki/Nomura_Research_Institute" title="Nomura Research Institute">Nomura Research Institute</a></li> <li><a href="/wiki/NTT_Data" title="NTT Data">NTT Data</a></li> <li><a href="/wiki/Olympus_Corporation" title="Olympus Corporation">Olympus Corporation</a></li> <li><a href="/wiki/OMRON_Corporation" class="mw-redirect" title="OMRON Corporation">OMRON Corporation</a></li> <li><a href="/wiki/Ono_Pharmaceutical" title="Ono Pharmaceutical">Ono Pharmaceutical</a></li> <li><a href="/wiki/Petronas" title="Petronas">Petronas</a></li> <li><a href="/wiki/Uni-President_Enterprises_Corporation" title="Uni-President Enterprises Corporation">President Chain Store Corporation</a></li> <li><a href="/wiki/PTT_Exploration_and_Production" title="PTT Exploration and Production">PTT Exploration and Production</a></li> <li><a href="/wiki/PTT_Global_Chemical" title="PTT Global Chemical">PTT Global Chemical</a></li> <li><a href="/wiki/PTT_Public_Company" class="mw-redirect" title="PTT Public Company">PTT Public Company</a></li> <li><a href="/wiki/Ricoh" title="Ricoh">Ricoh</a></li> <li><a href="/wiki/Samsung_Biologics" title="Samsung Biologics">Samsung Biologics</a></li> <li><a href="/wiki/Samsung_C%26T_Corporation" title="Samsung C&amp;T Corporation">Samsung C&amp;T Corporation</a></li> <li><a href="/wiki/Samsung_Electro-Mechanics" title="Samsung Electro-Mechanics">Samsung Electro-Mechanics</a></li> <li><a href="/wiki/Samsung_SDI" title="Samsung SDI">Samsung SDI</a></li> <li><a href="/wiki/Samsung_Securities" title="Samsung Securities">Samsung Securities</a></li> <li><a href="/wiki/Sands_China" title="Sands China">Sands China</a></li> <li><a href="/wiki/Siam_Commercial_Bank" title="Siam Commercial Bank">Siam Commercial Bank</a></li> <li><a href="/wiki/Sekisui_Chemical" title="Sekisui Chemical">Sekisui Chemical</a></li> <li><a href="/wiki/Sekisui_House" title="Sekisui House">Sekisui House</a></li> <li><a href="/wiki/Seven_%26_I_Holdings" title="Seven &amp; I Holdings">Seven &amp; I Holdings</a></li> <li><a href="/wiki/Shin_Kong_Group" title="Shin Kong Group">Shin Kong Group</a></li> <li><a href="/wiki/Shinhan_Financial_Group" title="Shinhan Financial Group">Shinhan Financial Group</a></li> <li><a href="/wiki/Shiseido" title="Shiseido">Shiseido</a></li> <li><a href="/wiki/Siam_Cement_Group" title="Siam Cement Group">Siam Cement Group</a></li> <li><a href="/wiki/Bank_SinoPac" title="Bank SinoPac">Bank SinoPac</a></li> <li><a href="/wiki/SK_Inc." title="SK Inc.">SK Inc.</a></li> <li><a href="/wiki/SK_Telecom" title="SK Telecom">SK Telecom</a></li> <li><a href="/wiki/SoftBank_Corporation" class="mw-redirect" title="SoftBank Corporation">SoftBank Corporation</a></li> <li><a href="/wiki/S-Oil" title="S-Oil">S-Oil</a></li> <li><a href="/wiki/Sojitz_Corporation" class="mw-redirect" title="Sojitz Corporation">Sojitz Corporation</a></li> <li><a href="/wiki/Stockland" title="Stockland">Stockland</a></li> <li><a href="/wiki/Sumitomo_Forestry" title="Sumitomo Forestry">Sumitomo Forestry</a></li> <li><a href="/wiki/Swire_Properties" title="Swire Properties">Swire Properties</a></li> <li><a href="/wiki/Sysmex_Corporation" title="Sysmex Corporation">Sysmex Corporation</a></li> <li><a href="/wiki/Taishin_Financial_Holdings" title="Taishin Financial Holdings">Taishin Financial Holdings</a></li> <li><a href="/wiki/Taiwan_Cooperative_Bank" title="Taiwan Cooperative Bank">Taiwan Cooperative Bank</a></li> <li><a href="/wiki/Taiwan_Mobile" title="Taiwan Mobile">Taiwan Mobile</a></li> <li><a href="/wiki/Taiwan_Semiconductor_Manufacturing_Company" class="mw-redirect" title="Taiwan Semiconductor Manufacturing Company">Taiwan Semiconductor Manufacturing Company</a></li> <li><a href="/wiki/Tech_Mahindra" title="Tech Mahindra">Tech Mahindra</a></li> <li><a href="/wiki/Tencent_Holdings" class="mw-redirect" title="Tencent Holdings">Tencent Holdings</a></li> <li><a href="/wiki/ThaiBev" title="ThaiBev">ThaiBev</a></li> <li><a href="/wiki/Shanghai_Commercial_and_Savings_Bank" title="Shanghai Commercial and Savings Bank">Shanghai Commercial and Savings Bank</a></li> <li><a href="/wiki/Toppan" title="Toppan">Toppan</a></li> <li><a href="/wiki/Toto_Ltd." title="Toto Ltd.">Toto</a></li> <li><a href="/wiki/Transurban" title="Transurban">Transurban</a></li> <li><a href="/wiki/United_Microelectronics_Corporation" title="United Microelectronics Corporation">United Microelectronics Corporation</a></li> <li><a href="/wiki/UPL_Limited" class="mw-redirect" title="UPL Limited">UPL Limited</a></li> <li><a href="/wiki/Vanguard_International_Semiconductor_Corporation" title="Vanguard International Semiconductor Corporation">Vanguard International Semiconductor Corporation</a></li> <li><a href="/wiki/Vedanta_Limited" title="Vedanta Limited">Vedanta Limited</a></li> <li><a href="/wiki/Vicinity_Centres" title="Vicinity Centres">Vicinity Centres</a></li> <li><a href="/wiki/Wilmar_International" title="Wilmar International">Wilmar International</a></li> <li>WIN Semiconductors</li> <li><a href="/wiki/Woodside_Energy" title="Woodside Energy">Woodside Energy</a></li> <li><a href="/wiki/WuXi_AppTec" title="WuXi AppTec">WuXi AppTec</a></li> <li><a href="/wiki/WuXi_Biologics" title="WuXi Biologics">WuXi Biologics</a></li> <li><a href="/wiki/Yokogawa_Electric_Corporation" class="mw-redirect" title="Yokogawa Electric Corporation">Yokogawa Electric Corporation</a></li> <li><a href="/wiki/Yuanta_Securities" title="Yuanta Securities">Yuanta Securities</a></li> <li><a href="/wiki/Yum_China_Holdings" class="mw-redirect" title="Yum China Holdings">Yum China Holdings</a></li></ul> </div></td></tr></tbody></table></div> <div class="navbox-styles"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374" /><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1236075235" /></div><div role="navigation" class="navbox authority-control" aria-labelledby="Authority_control_databases_frameless&amp;#124;text-top&amp;#124;10px&amp;#124;alt=Edit_this_at_Wikidata&amp;#124;link=https&amp;#58;//www.wikidata.org/wiki/Q713418#identifiers&amp;#124;class=noprint&amp;#124;Edit_this_at_Wikidata588" style="padding:3px"><table class="nowraplinks hlist mw-collapsible autocollapse navbox-inner" style="border-spacing:0;background:transparent;color:inherit"><tbody><tr><th scope="col" class="navbox-title" colspan="2"><div id="Authority_control_databases_frameless&amp;#124;text-top&amp;#124;10px&amp;#124;alt=Edit_this_at_Wikidata&amp;#124;link=https&amp;#58;//www.wikidata.org/wiki/Q713418#identifiers&amp;#124;class=noprint&amp;#124;Edit_this_at_Wikidata588" style="font-size:114%;margin:0 4em"><a href="/wiki/Help:Authority_control" title="Help:Authority control">Authority control databases</a> <span class="mw-valign-text-top noprint" typeof="mw:File/Frameless"><a href="https://www.wikidata.org/wiki/Q713418#identifiers" title="Edit this at Wikidata"><img alt="Edit this at Wikidata" src="//upload.wikimedia.org/wikipedia/en/thumb/8/8a/OOjs_UI_icon_edit-ltr-progressive.svg/10px-OOjs_UI_icon_edit-ltr-progressive.svg.png" decoding="async" width="10" height="10" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/en/thumb/8/8a/OOjs_UI_icon_edit-ltr-progressive.svg/15px-OOjs_UI_icon_edit-ltr-progressive.svg.png 1.5x, //upload.wikimedia.org/wikipedia/en/thumb/8/8a/OOjs_UI_icon_edit-ltr-progressive.svg/20px-OOjs_UI_icon_edit-ltr-progressive.svg.png 2x" data-file-width="20" data-file-height="20" /></a></span></div></th></tr><tr><th scope="row" class="navbox-group" style="width:1%">International</th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"><ul><li><span class="uid"><a rel="nofollow" class="external text" href="https://isni.org/isni/000000040568427X">ISNI</a></span></li><li><span class="uid"><a rel="nofollow" class="external text" href="https://viaf.org/viaf/5156674875633580419">VIAF</a></span></li></ul></div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">National</th><td class="navbox-list-with-group navbox-list navbox-even" style="width:100%;padding:0"><div style="padding:0 0.25em"><ul><li><span class="uid"><a rel="nofollow" class="external text" href="https://id.loc.gov/authorities/n2019048658">United States</a></span></li></ul></div></td></tr></tbody></table></div> <style data-mw-deduplicate="TemplateStyles:r1130092004">.mw-parser-output .portal-bar{font-size:88%;font-weight:bold;display:flex;justify-content:center;align-items:baseline}.mw-parser-output .portal-bar-bordered{padding:0 2em;background-color:#fdfdfd;border:1px solid #a2a9b1;clear:both;margin:1em auto 0}.mw-parser-output .portal-bar-related{font-size:100%;justify-content:flex-start}.mw-parser-output .portal-bar-unbordered{padding:0 1.7em;margin-left:0}.mw-parser-output .portal-bar-header{margin:0 1em 0 0.5em;flex:0 0 auto;min-height:24px}.mw-parser-output .portal-bar-content{display:flex;flex-flow:row wrap;flex:0 1 auto;padding:0.15em 0;column-gap:1em;align-items:baseline;margin:0;list-style:none}.mw-parser-output .portal-bar-content-related{margin:0;list-style:none}.mw-parser-output .portal-bar-item{display:inline-block;margin:0.15em 0.2em;min-height:24px;line-height:24px}@media screen and (max-width:768px){.mw-parser-output .portal-bar{font-size:88%;font-weight:bold;display:flex;flex-flow:column wrap;align-items:baseline}.mw-parser-output .portal-bar-header{text-align:center;flex:0;padding-left:0.5em;margin:0 auto}.mw-parser-output .portal-bar-related{font-size:100%;align-items:flex-start}.mw-parser-output .portal-bar-content{display:flex;flex-flow:row wrap;align-items:center;flex:0;column-gap:1em;border-top:1px solid #a2a9b1;margin:0 auto;list-style:none}.mw-parser-output .portal-bar-content-related{border-top:none;margin:0;list-style:none}}.mw-parser-output .navbox+link+.portal-bar,.mw-parser-output .navbox+style+.portal-bar,.mw-parser-output .navbox+link+.portal-bar-bordered,.mw-parser-output .navbox+style+.portal-bar-bordered,.mw-parser-output .sister-bar+link+.portal-bar,.mw-parser-output .sister-bar+style+.portal-bar,.mw-parser-output .portal-bar+.navbox-styles+.navbox,.mw-parser-output .portal-bar+.navbox-styles+.sister-bar{margin-top:-1px}</style><div class="portal-bar noprint metadata noviewer portal-bar-bordered" role="navigation" aria-label="Portals"><span class="portal-bar-header"><a href="/wiki/Wikipedia:Contents/Portals" title="Wikipedia:Contents/Portals">Portals</a>:</span><ul class="portal-bar-content"><li class="portal-bar-item"><span class="nowrap"><span typeof="mw:File"><span><img alt="" src="//upload.wikimedia.org/wikipedia/commons/thumb/2/2a/Industry5.svg/19px-Industry5.svg.png" decoding="async" width="19" height="19" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/2/2a/Industry5.svg/29px-Industry5.svg.png 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/2/2a/Industry5.svg/38px-Industry5.svg.png 2x" data-file-width="512" data-file-height="512" /></span></span> </span><a href="/wiki/Portal:Companies" title="Portal:Companies">Companies</a></li><li class="portal-bar-item"><span class="nowrap"><span class="mw-image-border" typeof="mw:File"><span><img alt="flag" src="//upload.wikimedia.org/wikipedia/commons/thumb/7/72/Flag_of_the_Republic_of_China.svg/40px-Flag_of_the_Republic_of_China.svg.png" decoding="async" width="21" height="14" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/7/72/Flag_of_the_Republic_of_China.svg/60px-Flag_of_the_Republic_of_China.svg.png 2x" data-file-width="900" data-file-height="600" /></span></span> </span><a href="/wiki/Portal:Taiwan" title="Portal:Taiwan">Taiwan</a></li></ul></div> <!-- NewPP limit report Parsed by mw‐web.eqiad.main‐6d78b94fdf‐t66nb Cached time: 20250320215659 Cache expiry: 2592000 Reduced expiry: false Complications: [vary‐revision‐sha1, show‐toc] CPU time usage: 1.798 seconds Real time usage: 2.196 seconds Preprocessor visited node count: 12173/1000000 Post‐expand include size: 484910/2097152 bytes Template argument size: 13029/2097152 bytes Highest expansion depth: 20/100 Expensive parser function count: 8/500 Unstrip recursion depth: 1/20 Unstrip post‐expand size: 603290/5000000 bytes Lua time usage: 1.083/10.000 seconds Lua memory usage: 20660837/52428800 bytes Lua Profile: ? 180 ms 17.3% MediaWiki\Extension\Scribunto\Engines\LuaSandbox\LuaSandboxCallback::callParserFunction 180 ms 17.3% MediaWiki\Extension\Scribunto\Engines\LuaSandbox\LuaSandboxCallback::find 120 ms 11.5% dataWrapper <mw.lua:672> 120 ms 11.5% MediaWiki\Extension\Scribunto\Engines\LuaSandbox\LuaSandboxCallback::getExpandedArgument 60 ms 5.8% <mw.lua:694> 40 ms 3.8% makeMessage <mw.message.lua:76> 40 ms 3.8% MediaWiki\Extension\Scribunto\Engines\LuaSandbox\LuaSandboxCallback::loadPackage 40 ms 3.8% type 40 ms 3.8% citation0 <Module:Citation/CS1:2615> 20 ms 1.9% [others] 200 ms 19.2% Number of Wikibase entities loaded: 1/400 --> <!-- Transclusion expansion time report (%,ms,calls,template) 100.00% 1799.652 1 -total 46.00% 827.819 1 Template:Reflist 24.21% 435.693 85 Template:Cite_web 17.71% 318.639 1 Template:Infobox_company 16.97% 305.446 1 Template:Infobox 14.21% 255.748 57 Template:Cite_news 7.73% 139.038 2 Template:Fix 7.65% 137.685 1 Template:Dubious 6.04% 108.629 3 Template:Category_handler 5.44% 97.962 6 Template:Navbox --> <!-- Saved in parser cache with key enwiki:pcache:463574:|#|:idhash:canonical and timestamp 20250320215659 and revision id 1279887981. Rendering was triggered because: page-view --> </div><!--esi <esi:include src="/esitest-fa8a495983347898/content" /> --><noscript><img src="https://login.wikimedia.org/wiki/Special:CentralAutoLogin/start?useformat=desktop&amp;type=1x1&amp;usesul3=0" alt="" width="1" height="1" style="border: none; position: absolute;"></noscript> <div class="printfooter" data-nosnippet="">Retrieved from "<a dir="ltr" href="https://en.wikipedia.org/w/index.php?title=TSMC&amp;oldid=1279887981">https://en.wikipedia.org/w/index.php?title=TSMC&amp;oldid=1279887981</a>"</div></div> <div id="catlinks" class="catlinks" data-mw="interface"><div id="mw-normal-catlinks" class="mw-normal-catlinks"><a href="/wiki/Help:Category" title="Help:Category">Categories</a>: <ul><li><a href="/wiki/Category:Electronics_companies_established_in_1987" title="Category:Electronics companies established in 1987">Electronics companies established in 1987</a></li><li><a href="/wiki/Category:Taiwanese_companies_established_in_1987" title="Category:Taiwanese companies established in 1987">Taiwanese companies established in 1987</a></li><li><a href="/wiki/Category:1993_initial_public_offerings" title="Category:1993 initial public offerings">1993 initial public offerings</a></li><li><a href="/wiki/Category:Manufacturing_companies_based_in_Hsinchu" title="Category:Manufacturing companies based in Hsinchu">Manufacturing companies based in Hsinchu</a></li><li><a href="/wiki/Category:Companies_listed_on_the_Taiwan_Stock_Exchange" title="Category:Companies listed on the Taiwan Stock Exchange">Companies listed on the Taiwan Stock Exchange</a></li><li><a href="/wiki/Category:Electronics_companies_of_Taiwan" title="Category:Electronics companies of Taiwan">Electronics companies of Taiwan</a></li><li><a href="/wiki/Category:Semiconductor_companies_of_Taiwan" title="Category:Semiconductor companies of Taiwan">Semiconductor companies of Taiwan</a></li><li><a href="/wiki/Category:Foundry_semiconductor_companies" title="Category:Foundry semiconductor companies">Foundry semiconductor companies</a></li><li><a href="/wiki/Category:Taiwanese_brands" title="Category:Taiwanese brands">Taiwanese brands</a></li><li><a href="/wiki/Category:Technology_companies_of_Taiwan" title="Category:Technology companies of Taiwan">Technology companies of Taiwan</a></li><li><a href="/wiki/Category:Companies_listed_on_the_New_York_Stock_Exchange" title="Category:Companies listed on the New York Stock Exchange">Companies listed on the New York Stock Exchange</a></li><li><a href="/wiki/Category:Computer_companies_of_Taiwan" title="Category:Computer companies of Taiwan">Computer companies of Taiwan</a></li><li><a href="/wiki/Category:Computer_hardware_companies" title="Category:Computer hardware companies">Computer hardware companies</a></li><li><a href="/wiki/Category:Companies_in_the_Taiwan_Capitalization_Weighted_Stock_Index" title="Category:Companies in the Taiwan Capitalization Weighted Stock Index">Companies in the Taiwan Capitalization Weighted Stock Index</a></li><li><a href="/wiki/Category:Companies_in_the_Dow_Jones_Global_Titans_50" title="Category:Companies in the Dow Jones Global Titans 50">Companies in the Dow Jones Global Titans 50</a></li><li><a href="/wiki/Category:Companies_in_the_S%26P_Asia_50" title="Category:Companies in the S&amp;P Asia 50">Companies in the S&amp;P Asia 50</a></li><li><a href="/wiki/Category:MEMS_factories" title="Category:MEMS factories">MEMS factories</a></li></ul></div><div id="mw-hidden-catlinks" class="mw-hidden-catlinks mw-hidden-cats-hidden">Hidden categories: <ul><li><a href="/wiki/Category:Pages_with_non-numeric_formatnum_arguments" title="Category:Pages with non-numeric formatnum arguments">Pages with non-numeric formatnum arguments</a></li><li><a href="/wiki/Category:CS1_Simplified_Chinese-language_sources_(zh-hans)" title="Category:CS1 Simplified Chinese-language sources (zh-hans)">CS1 Simplified Chinese-language sources (zh-hans)</a></li><li><a href="/wiki/Category:CS1_French-language_sources_(fr)" title="Category:CS1 French-language sources (fr)">CS1 French-language sources (fr)</a></li><li><a href="/wiki/Category:CS1_Chinese_(Taiwan)-language_sources_(zh-tw)" title="Category:CS1 Chinese (Taiwan)-language sources (zh-tw)">CS1 Chinese (Taiwan)-language sources (zh-tw)</a></li><li><a href="/wiki/Category:CS1_maint:_archived_copy_as_title" title="Category:CS1 maint: archived copy as title">CS1 maint: archived copy as title</a></li><li><a href="/wiki/Category:CS1_Japanese-language_sources_(ja)" title="Category:CS1 Japanese-language sources (ja)">CS1 Japanese-language sources (ja)</a></li><li><a href="/wiki/Category:Articles_with_short_description" title="Category:Articles with short description">Articles with short description</a></li><li><a href="/wiki/Category:Short_description_is_different_from_Wikidata" title="Category:Short description is different from Wikidata">Short description is different from Wikidata</a></li><li><a href="/wiki/Category:EngvarB_from_August_2016" title="Category:EngvarB from August 2016">EngvarB from August 2016</a></li><li><a href="/wiki/Category:Use_dmy_dates_from_July_2024" title="Category:Use dmy dates from July 2024">Use dmy dates from July 2024</a></li><li><a href="/wiki/Category:Articles_containing_Chinese-language_text" title="Category:Articles containing Chinese-language text">Articles containing Chinese-language text</a></li><li><a href="/wiki/Category:All_accuracy_disputes" title="Category:All accuracy disputes">All accuracy disputes</a></li><li><a href="/wiki/Category:Articles_with_disputed_statements_from_June_2022" title="Category:Articles with disputed statements from June 2022">Articles with disputed statements from June 2022</a></li><li><a href="/wiki/Category:Pages_using_gadget_WikiMiniAtlas" title="Category:Pages using gadget WikiMiniAtlas">Pages using gadget WikiMiniAtlas</a></li><li><a href="/wiki/Category:All_articles_with_unsourced_statements" title="Category:All articles with unsourced statements">All articles with unsourced statements</a></li><li><a href="/wiki/Category:Articles_with_unsourced_statements_from_May_2014" title="Category:Articles with unsourced statements from May 2014">Articles with unsourced statements from May 2014</a></li><li><a href="/wiki/Category:Commons_category_link_from_Wikidata" title="Category:Commons category link from Wikidata">Commons category link from Wikidata</a></li></ul></div></div> </div> </main> </div> <div class="mw-footer-container"> <footer id="footer" class="mw-footer" > <ul id="footer-info"> <li id="footer-info-lastmod"> This page was last edited on 11 March 2025, at 04:37<span class="anonymous-show">&#160;(UTC)</span>.</li> <li id="footer-info-copyright">Text is available under the <a href="/wiki/Wikipedia:Text_of_the_Creative_Commons_Attribution-ShareAlike_4.0_International_License" title="Wikipedia:Text of the Creative Commons Attribution-ShareAlike 4.0 International License">Creative Commons Attribution-ShareAlike 4.0 License</a>; additional terms may apply. By using this site, you agree to the <a href="https://foundation.wikimedia.org/wiki/Special:MyLanguage/Policy:Terms_of_Use" class="extiw" title="foundation:Special:MyLanguage/Policy:Terms of Use">Terms of Use</a> and <a href="https://foundation.wikimedia.org/wiki/Special:MyLanguage/Policy:Privacy_policy" class="extiw" title="foundation:Special:MyLanguage/Policy:Privacy policy">Privacy Policy</a>. Wikipedia® is a registered trademark of the <a rel="nofollow" class="external text" href="https://wikimediafoundation.org/">Wikimedia Foundation, Inc.</a>, a non-profit organization.</li> </ul> <ul id="footer-places"> <li id="footer-places-privacy"><a href="https://foundation.wikimedia.org/wiki/Special:MyLanguage/Policy:Privacy_policy">Privacy policy</a></li> <li id="footer-places-about"><a href="/wiki/Wikipedia:About">About Wikipedia</a></li> <li id="footer-places-disclaimers"><a href="/wiki/Wikipedia:General_disclaimer">Disclaimers</a></li> <li id="footer-places-contact"><a href="//en.wikipedia.org/wiki/Wikipedia:Contact_us">Contact Wikipedia</a></li> <li id="footer-places-wm-codeofconduct"><a href="https://foundation.wikimedia.org/wiki/Special:MyLanguage/Policy:Universal_Code_of_Conduct">Code of Conduct</a></li> <li id="footer-places-developers"><a href="https://developer.wikimedia.org">Developers</a></li> <li id="footer-places-statslink"><a href="https://stats.wikimedia.org/#/en.wikipedia.org">Statistics</a></li> <li id="footer-places-cookiestatement"><a href="https://foundation.wikimedia.org/wiki/Special:MyLanguage/Policy:Cookie_statement">Cookie statement</a></li> <li id="footer-places-mobileview"><a href="//en.m.wikipedia.org/w/index.php?title=TSMC&amp;mobileaction=toggle_view_mobile" class="noprint stopMobileRedirectToggle">Mobile view</a></li> </ul> <ul id="footer-icons" class="noprint"> <li id="footer-copyrightico"><a href="https://wikimediafoundation.org/" class="cdx-button cdx-button--fake-button cdx-button--size-large cdx-button--fake-button--enabled"><picture><source media="(min-width: 500px)" srcset="/static/images/footer/wikimedia-button.svg" width="84" height="29"><img src="/static/images/footer/wikimedia.svg" width="25" height="25" alt="Wikimedia Foundation" lang="en" loading="lazy"></picture></a></li> <li id="footer-poweredbyico"><a href="https://www.mediawiki.org/" class="cdx-button cdx-button--fake-button cdx-button--size-large cdx-button--fake-button--enabled"><picture><source media="(min-width: 500px)" srcset="/w/resources/assets/poweredby_mediawiki.svg" width="88" height="31"><img src="/w/resources/assets/mediawiki_compact.svg" alt="Powered by MediaWiki" lang="en" width="25" height="25" loading="lazy"></picture></a></li> </ul> </footer> </div> </div> </div> <div class="vector-header-container vector-sticky-header-container"> <div id="vector-sticky-header" class="vector-sticky-header"> <div class="vector-sticky-header-start"> <div class="vector-sticky-header-icon-start vector-button-flush-left vector-button-flush-right" aria-hidden="true"> <button class="cdx-button cdx-button--weight-quiet cdx-button--icon-only vector-sticky-header-search-toggle" tabindex="-1" data-event-name="ui.vector-sticky-search-form.icon"><span class="vector-icon mw-ui-icon-search mw-ui-icon-wikimedia-search"></span> <span>Search</span> </button> </div> <div role="search" class="vector-search-box-vue vector-search-box-show-thumbnail vector-search-box"> <div class="vector-typeahead-search-container"> <div class="cdx-typeahead-search cdx-typeahead-search--show-thumbnail"> <form action="/w/index.php" id="vector-sticky-search-form" class="cdx-search-input cdx-search-input--has-end-button"> <div class="cdx-search-input__input-wrapper" data-search-loc="header-moved"> <div class="cdx-text-input cdx-text-input--has-start-icon"> <input class="cdx-text-input__input" type="search" name="search" placeholder="Search Wikipedia"> <span class="cdx-text-input__icon cdx-text-input__start-icon"></span> </div> <input type="hidden" name="title" value="Special:Search"> </div> <button class="cdx-button cdx-search-input__end-button">Search</button> </form> </div> </div> </div> <div class="vector-sticky-header-context-bar"> <nav aria-label="Contents" class="vector-toc-landmark"> <div id="vector-sticky-header-toc" class="vector-dropdown mw-portlet mw-portlet-sticky-header-toc vector-sticky-header-toc vector-button-flush-left" > <input type="checkbox" id="vector-sticky-header-toc-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-sticky-header-toc" class="vector-dropdown-checkbox " aria-label="Toggle the table of contents" > <label id="vector-sticky-header-toc-label" for="vector-sticky-header-toc-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only " aria-hidden="true" ><span class="vector-icon mw-ui-icon-listBullet mw-ui-icon-wikimedia-listBullet"></span> <span class="vector-dropdown-label-text">Toggle the table of contents</span> </label> <div class="vector-dropdown-content"> <div id="vector-sticky-header-toc-unpinned-container" class="vector-unpinned-container"> </div> </div> </div> </nav> <div class="vector-sticky-header-context-bar-primary" aria-hidden="true" ><span class="mw-page-title-main">TSMC</span></div> </div> </div> <div class="vector-sticky-header-end" aria-hidden="true"> <div class="vector-sticky-header-icons"> <a href="#" class="cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only" id="ca-talk-sticky-header" tabindex="-1" data-event-name="talk-sticky-header"><span class="vector-icon mw-ui-icon-speechBubbles mw-ui-icon-wikimedia-speechBubbles"></span> <span></span> </a> <a href="#" class="cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only" id="ca-subject-sticky-header" tabindex="-1" data-event-name="subject-sticky-header"><span class="vector-icon mw-ui-icon-article mw-ui-icon-wikimedia-article"></span> <span></span> </a> <a href="#" class="cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only" id="ca-history-sticky-header" tabindex="-1" data-event-name="history-sticky-header"><span class="vector-icon mw-ui-icon-wikimedia-history mw-ui-icon-wikimedia-wikimedia-history"></span> <span></span> </a> <a href="#" class="cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only mw-watchlink" id="ca-watchstar-sticky-header" tabindex="-1" data-event-name="watch-sticky-header"><span class="vector-icon mw-ui-icon-wikimedia-star mw-ui-icon-wikimedia-wikimedia-star"></span> <span></span> </a> <a href="#" class="cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only" id="ca-edit-sticky-header" tabindex="-1" data-event-name="wikitext-edit-sticky-header"><span class="vector-icon mw-ui-icon-wikimedia-wikiText mw-ui-icon-wikimedia-wikimedia-wikiText"></span> <span></span> </a> <a href="#" class="cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only" id="ca-ve-edit-sticky-header" tabindex="-1" data-event-name="ve-edit-sticky-header"><span class="vector-icon mw-ui-icon-wikimedia-edit mw-ui-icon-wikimedia-wikimedia-edit"></span> <span></span> </a> <a href="#" class="cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only" id="ca-viewsource-sticky-header" tabindex="-1" data-event-name="ve-edit-protected-sticky-header"><span class="vector-icon mw-ui-icon-wikimedia-editLock mw-ui-icon-wikimedia-wikimedia-editLock"></span> <span></span> </a> </div> <div class="vector-sticky-header-buttons"> <button class="cdx-button cdx-button--weight-quiet mw-interlanguage-selector" id="p-lang-btn-sticky-header" tabindex="-1" data-event-name="ui.dropdown-p-lang-btn-sticky-header"><span class="vector-icon mw-ui-icon-wikimedia-language mw-ui-icon-wikimedia-wikimedia-language"></span> <span>44 languages</span> </button> <a href="#" class="cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--action-progressive" id="ca-addsection-sticky-header" tabindex="-1" data-event-name="addsection-sticky-header"><span class="vector-icon mw-ui-icon-speechBubbleAdd-progressive mw-ui-icon-wikimedia-speechBubbleAdd-progressive"></span> <span>Add topic</span> </a> </div> <div class="vector-sticky-header-icon-end"> <div class="vector-user-links"> </div> </div> </div> </div> </div> <div class="mw-portlet mw-portlet-dock-bottom emptyPortlet" id="p-dock-bottom"> <ul> </ul> </div> <script>(RLQ=window.RLQ||[]).push(function(){mw.config.set({"wgHostname":"mw-web.eqiad.main-6d78b94fdf-vzl87","wgBackendResponseTime":340,"wgPageParseReport":{"limitreport":{"cputime":"1.798","walltime":"2.196","ppvisitednodes":{"value":12173,"limit":1000000},"postexpandincludesize":{"value":484910,"limit":2097152},"templateargumentsize":{"value":13029,"limit":2097152},"expansiondepth":{"value":20,"limit":100},"expensivefunctioncount":{"value":8,"limit":500},"unstrip-depth":{"value":1,"limit":20},"unstrip-size":{"value":603290,"limit":5000000},"entityaccesscount":{"value":1,"limit":400},"timingprofile":["100.00% 1799.652 1 -total"," 46.00% 827.819 1 Template:Reflist"," 24.21% 435.693 85 Template:Cite_web"," 17.71% 318.639 1 Template:Infobox_company"," 16.97% 305.446 1 Template:Infobox"," 14.21% 255.748 57 Template:Cite_news"," 7.73% 139.038 2 Template:Fix"," 7.65% 137.685 1 Template:Dubious"," 6.04% 108.629 3 Template:Category_handler"," 5.44% 97.962 6 Template:Navbox"]},"scribunto":{"limitreport-timeusage":{"value":"1.083","limit":"10.000"},"limitreport-memusage":{"value":20660837,"limit":52428800},"limitreport-profile":[["?","180","17.3"],["MediaWiki\\Extension\\Scribunto\\Engines\\LuaSandbox\\LuaSandboxCallback::callParserFunction","180","17.3"],["MediaWiki\\Extension\\Scribunto\\Engines\\LuaSandbox\\LuaSandboxCallback::find","120","11.5"],["dataWrapper \u003Cmw.lua:672\u003E","120","11.5"],["MediaWiki\\Extension\\Scribunto\\Engines\\LuaSandbox\\LuaSandboxCallback::getExpandedArgument","60","5.8"],["\u003Cmw.lua:694\u003E","40","3.8"],["makeMessage \u003Cmw.message.lua:76\u003E","40","3.8"],["MediaWiki\\Extension\\Scribunto\\Engines\\LuaSandbox\\LuaSandboxCallback::loadPackage","40","3.8"],["type","40","3.8"],["citation0 \u003CModule:Citation/CS1:2615\u003E","20","1.9"],["[others]","200","19.2"]]},"cachereport":{"origin":"mw-web.eqiad.main-6d78b94fdf-t66nb","timestamp":"20250320215659","ttl":2592000,"transientcontent":false}}});});</script> <script type="application/ld+json">{"@context":"https:\/\/schema.org","@type":"Article","name":"TSMC","url":"https:\/\/en.wikipedia.org\/wiki\/TSMC","sameAs":"http:\/\/www.wikidata.org\/entity\/Q713418","mainEntity":"http:\/\/www.wikidata.org\/entity\/Q713418","author":{"@type":"Organization","name":"Contributors to Wikimedia projects"},"publisher":{"@type":"Organization","name":"Wikimedia Foundation, Inc.","logo":{"@type":"ImageObject","url":"https:\/\/www.wikimedia.org\/static\/images\/wmf-hor-googpub.png"}},"datePublished":"2004-02-10T15:15:48Z","dateModified":"2025-03-11T04:37:31Z","image":"https:\/\/upload.wikimedia.org\/wikipedia\/en\/6\/63\/Tsmc.svg","headline":"semiconductor foundry company headquartered in Taiwan"}</script> </body> </html>

Pages: 1 2 3 4 5 6 7 8 9 10