CINXE.COM

Extreme ultraviolet lithography - Wikipedia

<!DOCTYPE html> <html class="client-nojs vector-feature-language-in-header-enabled vector-feature-language-in-main-page-header-disabled vector-feature-sticky-header-disabled vector-feature-page-tools-pinned-disabled vector-feature-toc-pinned-clientpref-1 vector-feature-main-menu-pinned-disabled vector-feature-limited-width-clientpref-1 vector-feature-limited-width-content-enabled vector-feature-custom-font-size-clientpref-1 vector-feature-appearance-pinned-clientpref-1 vector-feature-night-mode-enabled skin-theme-clientpref-day vector-toc-available" lang="en" dir="ltr"> <head> <meta charset="UTF-8"> <title>Extreme ultraviolet lithography - Wikipedia</title> <script>(function(){var className="client-js vector-feature-language-in-header-enabled vector-feature-language-in-main-page-header-disabled vector-feature-sticky-header-disabled vector-feature-page-tools-pinned-disabled vector-feature-toc-pinned-clientpref-1 vector-feature-main-menu-pinned-disabled vector-feature-limited-width-clientpref-1 vector-feature-limited-width-content-enabled vector-feature-custom-font-size-clientpref-1 vector-feature-appearance-pinned-clientpref-1 vector-feature-night-mode-enabled skin-theme-clientpref-day vector-toc-available";var cookie=document.cookie.match(/(?:^|; )enwikimwclientpreferences=([^;]+)/);if(cookie){cookie[1].split('%2C').forEach(function(pref){className=className.replace(new RegExp('(^| )'+pref.replace(/-clientpref-\w+$|[^\w-]+/g,'')+'-clientpref-\\w+( |$)'),'$1'+pref+'$2');});}document.documentElement.className=className;}());RLCONF={"wgBreakFrames":false,"wgSeparatorTransformTable":["",""],"wgDigitTransformTable":["",""],"wgDefaultDateFormat":"dmy", "wgMonthNames":["","January","February","March","April","May","June","July","August","September","October","November","December"],"wgRequestId":"064452dd-581e-4b09-920f-c53077e5df33","wgCanonicalNamespace":"","wgCanonicalSpecialPageName":false,"wgNamespaceNumber":0,"wgPageName":"Extreme_ultraviolet_lithography","wgTitle":"Extreme ultraviolet lithography","wgCurRevisionId":1259081914,"wgRevisionId":1259081914,"wgArticleId":2154371,"wgIsArticle":true,"wgIsRedirect":false,"wgAction":"view","wgUserName":null,"wgUserGroups":["*"],"wgCategories":["All articles with bare URLs for citations","Articles with bare URLs for citations from August 2024","Articles with PDF format bare URLs for citations","CS1 errors: periodical ignored","Articles with short description","Short description is different from Wikidata","Articles containing potentially dated statements from 2023","All articles containing potentially dated statements","All articles with unsourced statements", "Articles with unsourced statements from May 2023","Articles with unsourced statements from June 2024","All pages needing factual verification","Wikipedia articles needing factual verification from January 2019","Lithography (microfabrication)","Extreme ultraviolet"],"wgPageViewLanguage":"en","wgPageContentLanguage":"en","wgPageContentModel":"wikitext","wgRelevantPageName":"Extreme_ultraviolet_lithography","wgRelevantArticleId":2154371,"wgIsProbablyEditable":true,"wgRelevantPageIsProbablyEditable":true,"wgRestrictionEdit":[],"wgRestrictionMove":[],"wgNoticeProject":"wikipedia","wgCiteReferencePreviewsActive":false,"wgFlaggedRevsParams":{"tags":{"status":{"levels":1}}},"wgMediaViewerOnClick":true,"wgMediaViewerEnabledByDefault":true,"wgPopupsFlags":0,"wgVisualEditor":{"pageLanguageCode":"en","pageLanguageDir":"ltr","pageVariantFallbacks":"en"},"wgMFDisplayWikibaseDescriptions":{"search":true,"watchlist":true,"tagline":false,"nearby":true},"wgWMESchemaEditAttemptStepOversample":false, "wgWMEPageLength":100000,"wgRelatedArticlesCompat":[],"wgCentralAuthMobileDomain":false,"wgEditSubmitButtonLabelPublish":true,"wgULSPosition":"interlanguage","wgULSisCompactLinksEnabled":false,"wgVector2022LanguageInHeader":true,"wgULSisLanguageSelectorEmpty":false,"wgWikibaseItemId":"Q371965","wgCheckUserClientHintsHeadersJsApi":["brands","architecture","bitness","fullVersionList","mobile","model","platform","platformVersion"],"GEHomepageSuggestedEditsEnableTopics":true,"wgGETopicsMatchModeEnabled":false,"wgGEStructuredTaskRejectionReasonTextInputEnabled":false,"wgGELevelingUpEnabledForUser":false};RLSTATE={"ext.globalCssJs.user.styles":"ready","site.styles":"ready","user.styles":"ready","ext.globalCssJs.user":"ready","user":"ready","user.options":"loading","ext.cite.styles":"ready","ext.math.styles":"ready","skins.vector.search.codex.styles":"ready","skins.vector.styles":"ready","skins.vector.icons":"ready","jquery.tablesorter.styles":"ready","jquery.makeCollapsible.styles":"ready", "ext.wikimediamessages.styles":"ready","ext.visualEditor.desktopArticleTarget.noscript":"ready","ext.uls.interlanguage":"ready","wikibase.client.init":"ready","ext.wikimediaBadges":"ready"};RLPAGEMODULES=["ext.cite.ux-enhancements","mediawiki.page.media","site","mediawiki.page.ready","jquery.tablesorter","jquery.makeCollapsible","mediawiki.toc","skins.vector.js","ext.centralNotice.geoIP","ext.centralNotice.startUp","ext.gadget.ReferenceTooltips","ext.gadget.switcher","ext.urlShortener.toolbar","ext.centralauth.centralautologin","mmv.bootstrap","ext.popups","ext.visualEditor.desktopArticleTarget.init","ext.visualEditor.targetLoader","ext.echo.centralauth","ext.eventLogging","ext.wikimediaEvents","ext.navigationTiming","ext.uls.interface","ext.cx.eventlogging.campaigns","ext.cx.uls.quick.actions","wikibase.client.vector-2022","ext.checkUser.clientHints","ext.growthExperiments.SuggestedEditSession","wikibase.sidebar.tracking"];</script> <script>(RLQ=window.RLQ||[]).push(function(){mw.loader.impl(function(){return["user.options@12s5i",function($,jQuery,require,module){mw.user.tokens.set({"patrolToken":"+\\","watchToken":"+\\","csrfToken":"+\\"}); }];});});</script> <link rel="stylesheet" href="/w/load.php?lang=en&amp;modules=ext.cite.styles%7Cext.math.styles%7Cext.uls.interlanguage%7Cext.visualEditor.desktopArticleTarget.noscript%7Cext.wikimediaBadges%7Cext.wikimediamessages.styles%7Cjquery.makeCollapsible.styles%7Cjquery.tablesorter.styles%7Cskins.vector.icons%2Cstyles%7Cskins.vector.search.codex.styles%7Cwikibase.client.init&amp;only=styles&amp;skin=vector-2022"> <script async="" src="/w/load.php?lang=en&amp;modules=startup&amp;only=scripts&amp;raw=1&amp;skin=vector-2022"></script> <meta name="ResourceLoaderDynamicStyles" content=""> <link rel="stylesheet" href="/w/load.php?lang=en&amp;modules=site.styles&amp;only=styles&amp;skin=vector-2022"> <meta name="generator" content="MediaWiki 1.44.0-wmf.4"> <meta name="referrer" content="origin"> <meta name="referrer" content="origin-when-cross-origin"> <meta name="robots" content="max-image-preview:standard"> <meta name="format-detection" content="telephone=no"> <meta property="og:image" content="https://upload.wikimedia.org/wikipedia/commons/thumb/c/cd/EUV_photoelectrons_and_secondaries_%28vector%29.svg/1200px-EUV_photoelectrons_and_secondaries_%28vector%29.svg.png"> <meta property="og:image:width" content="1200"> <meta property="og:image:height" content="737"> <meta property="og:image" content="https://upload.wikimedia.org/wikipedia/commons/thumb/c/cd/EUV_photoelectrons_and_secondaries_%28vector%29.svg/800px-EUV_photoelectrons_and_secondaries_%28vector%29.svg.png"> <meta property="og:image:width" content="800"> <meta property="og:image:height" content="492"> <meta property="og:image" content="https://upload.wikimedia.org/wikipedia/commons/thumb/c/cd/EUV_photoelectrons_and_secondaries_%28vector%29.svg/640px-EUV_photoelectrons_and_secondaries_%28vector%29.svg.png"> <meta property="og:image:width" content="640"> <meta property="og:image:height" content="393"> <meta name="viewport" content="width=1120"> <meta property="og:title" content="Extreme ultraviolet lithography - Wikipedia"> <meta property="og:type" content="website"> <link rel="preconnect" href="//upload.wikimedia.org"> <link rel="alternate" media="only screen and (max-width: 640px)" href="//en.m.wikipedia.org/wiki/Extreme_ultraviolet_lithography"> <link rel="alternate" type="application/x-wiki" title="Edit this page" href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit"> <link rel="apple-touch-icon" href="/static/apple-touch/wikipedia.png"> <link rel="icon" href="/static/favicon/wikipedia.ico"> <link rel="search" type="application/opensearchdescription+xml" href="/w/rest.php/v1/search" title="Wikipedia (en)"> <link rel="EditURI" type="application/rsd+xml" href="//en.wikipedia.org/w/api.php?action=rsd"> <link rel="canonical" href="https://en.wikipedia.org/wiki/Extreme_ultraviolet_lithography"> <link rel="license" href="https://creativecommons.org/licenses/by-sa/4.0/deed.en"> <link rel="alternate" type="application/atom+xml" title="Wikipedia Atom feed" href="/w/index.php?title=Special:RecentChanges&amp;feed=atom"> <link rel="dns-prefetch" href="//meta.wikimedia.org" /> <link rel="dns-prefetch" href="//login.wikimedia.org"> </head> <body class="skin--responsive skin-vector skin-vector-search-vue mediawiki ltr sitedir-ltr mw-hide-empty-elt ns-0 ns-subject mw-editable page-Extreme_ultraviolet_lithography rootpage-Extreme_ultraviolet_lithography skin-vector-2022 action-view"><a class="mw-jump-link" href="#bodyContent">Jump to content</a> <div class="vector-header-container"> <header class="vector-header mw-header"> <div class="vector-header-start"> <nav class="vector-main-menu-landmark" aria-label="Site"> <div id="vector-main-menu-dropdown" class="vector-dropdown vector-main-menu-dropdown vector-button-flush-left vector-button-flush-right" > <input type="checkbox" id="vector-main-menu-dropdown-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-main-menu-dropdown" class="vector-dropdown-checkbox " aria-label="Main menu" > <label id="vector-main-menu-dropdown-label" for="vector-main-menu-dropdown-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only " aria-hidden="true" ><span class="vector-icon mw-ui-icon-menu mw-ui-icon-wikimedia-menu"></span> <span class="vector-dropdown-label-text">Main menu</span> </label> <div class="vector-dropdown-content"> <div id="vector-main-menu-unpinned-container" class="vector-unpinned-container"> <div id="vector-main-menu" class="vector-main-menu vector-pinnable-element"> <div class="vector-pinnable-header vector-main-menu-pinnable-header vector-pinnable-header-unpinned" data-feature-name="main-menu-pinned" data-pinnable-element-id="vector-main-menu" data-pinned-container-id="vector-main-menu-pinned-container" data-unpinned-container-id="vector-main-menu-unpinned-container" > <div class="vector-pinnable-header-label">Main menu</div> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-pin-button" data-event-name="pinnable-header.vector-main-menu.pin">move to sidebar</button> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-unpin-button" data-event-name="pinnable-header.vector-main-menu.unpin">hide</button> </div> <div id="p-navigation" class="vector-menu mw-portlet mw-portlet-navigation" > <div class="vector-menu-heading"> Navigation </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="n-mainpage-description" class="mw-list-item"><a href="/wiki/Main_Page" title="Visit the main page [z]" accesskey="z"><span>Main page</span></a></li><li id="n-contents" class="mw-list-item"><a href="/wiki/Wikipedia:Contents" title="Guides to browsing Wikipedia"><span>Contents</span></a></li><li id="n-currentevents" class="mw-list-item"><a href="/wiki/Portal:Current_events" title="Articles related to current events"><span>Current events</span></a></li><li id="n-randompage" class="mw-list-item"><a href="/wiki/Special:Random" title="Visit a randomly selected article [x]" accesskey="x"><span>Random article</span></a></li><li id="n-aboutsite" class="mw-list-item"><a href="/wiki/Wikipedia:About" title="Learn about Wikipedia and how it works"><span>About Wikipedia</span></a></li><li id="n-contactpage" class="mw-list-item"><a href="//en.wikipedia.org/wiki/Wikipedia:Contact_us" title="How to contact Wikipedia"><span>Contact us</span></a></li> </ul> </div> </div> <div id="p-interaction" class="vector-menu mw-portlet mw-portlet-interaction" > <div class="vector-menu-heading"> Contribute </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="n-help" class="mw-list-item"><a href="/wiki/Help:Contents" title="Guidance on how to use and edit Wikipedia"><span>Help</span></a></li><li id="n-introduction" class="mw-list-item"><a href="/wiki/Help:Introduction" title="Learn how to edit Wikipedia"><span>Learn to edit</span></a></li><li id="n-portal" class="mw-list-item"><a href="/wiki/Wikipedia:Community_portal" title="The hub for editors"><span>Community portal</span></a></li><li id="n-recentchanges" class="mw-list-item"><a href="/wiki/Special:RecentChanges" title="A list of recent changes to Wikipedia [r]" accesskey="r"><span>Recent changes</span></a></li><li id="n-upload" class="mw-list-item"><a href="/wiki/Wikipedia:File_upload_wizard" title="Add images or other media for use on Wikipedia"><span>Upload file</span></a></li> </ul> </div> </div> </div> </div> </div> </div> </nav> <a href="/wiki/Main_Page" class="mw-logo"> <img class="mw-logo-icon" src="/static/images/icons/wikipedia.png" alt="" aria-hidden="true" height="50" width="50"> <span class="mw-logo-container skin-invert"> <img class="mw-logo-wordmark" alt="Wikipedia" src="/static/images/mobile/copyright/wikipedia-wordmark-en.svg" style="width: 7.5em; height: 1.125em;"> <img class="mw-logo-tagline" alt="The Free Encyclopedia" src="/static/images/mobile/copyright/wikipedia-tagline-en.svg" width="117" height="13" style="width: 7.3125em; height: 0.8125em;"> </span> </a> </div> <div class="vector-header-end"> <div id="p-search" role="search" class="vector-search-box-vue vector-search-box-collapses vector-search-box-show-thumbnail vector-search-box-auto-expand-width vector-search-box"> <a href="/wiki/Special:Search" class="cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only search-toggle" title="Search Wikipedia [f]" accesskey="f"><span class="vector-icon mw-ui-icon-search mw-ui-icon-wikimedia-search"></span> <span>Search</span> </a> <div class="vector-typeahead-search-container"> <div class="cdx-typeahead-search cdx-typeahead-search--show-thumbnail cdx-typeahead-search--auto-expand-width"> <form action="/w/index.php" id="searchform" class="cdx-search-input cdx-search-input--has-end-button"> <div id="simpleSearch" class="cdx-search-input__input-wrapper" data-search-loc="header-moved"> <div class="cdx-text-input cdx-text-input--has-start-icon"> <input class="cdx-text-input__input" type="search" name="search" placeholder="Search Wikipedia" aria-label="Search Wikipedia" autocapitalize="sentences" title="Search Wikipedia [f]" accesskey="f" id="searchInput" > <span class="cdx-text-input__icon cdx-text-input__start-icon"></span> </div> <input type="hidden" name="title" value="Special:Search"> </div> <button class="cdx-button cdx-search-input__end-button">Search</button> </form> </div> </div> </div> <nav class="vector-user-links vector-user-links-wide" aria-label="Personal tools"> <div class="vector-user-links-main"> <div id="p-vector-user-menu-preferences" class="vector-menu mw-portlet emptyPortlet" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> </ul> </div> </div> <div id="p-vector-user-menu-userpage" class="vector-menu mw-portlet emptyPortlet" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> </ul> </div> </div> <nav class="vector-appearance-landmark" aria-label="Appearance"> <div id="vector-appearance-dropdown" class="vector-dropdown " title="Change the appearance of the page&#039;s font size, width, and color" > <input type="checkbox" id="vector-appearance-dropdown-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-appearance-dropdown" class="vector-dropdown-checkbox " aria-label="Appearance" > <label id="vector-appearance-dropdown-label" for="vector-appearance-dropdown-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only " aria-hidden="true" ><span class="vector-icon mw-ui-icon-appearance mw-ui-icon-wikimedia-appearance"></span> <span class="vector-dropdown-label-text">Appearance</span> </label> <div class="vector-dropdown-content"> <div id="vector-appearance-unpinned-container" class="vector-unpinned-container"> </div> </div> </div> </nav> <div id="p-vector-user-menu-notifications" class="vector-menu mw-portlet emptyPortlet" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> </ul> </div> </div> <div id="p-vector-user-menu-overflow" class="vector-menu mw-portlet" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="pt-sitesupport-2" class="user-links-collapsible-item mw-list-item user-links-collapsible-item"><a data-mw="interface" href="https://donate.wikimedia.org/wiki/Special:FundraiserRedirector?utm_source=donate&amp;utm_medium=sidebar&amp;utm_campaign=C13_en.wikipedia.org&amp;uselang=en" class=""><span>Donate</span></a> </li> <li id="pt-createaccount-2" class="user-links-collapsible-item mw-list-item user-links-collapsible-item"><a data-mw="interface" href="/w/index.php?title=Special:CreateAccount&amp;returnto=Extreme+ultraviolet+lithography" title="You are encouraged to create an account and log in; however, it is not mandatory" class=""><span>Create account</span></a> </li> <li id="pt-login-2" class="user-links-collapsible-item mw-list-item user-links-collapsible-item"><a data-mw="interface" href="/w/index.php?title=Special:UserLogin&amp;returnto=Extreme+ultraviolet+lithography" title="You&#039;re encouraged to log in; however, it&#039;s not mandatory. [o]" accesskey="o" class=""><span>Log in</span></a> </li> </ul> </div> </div> </div> <div id="vector-user-links-dropdown" class="vector-dropdown vector-user-menu vector-button-flush-right vector-user-menu-logged-out" title="Log in and more options" > <input type="checkbox" id="vector-user-links-dropdown-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-user-links-dropdown" class="vector-dropdown-checkbox " aria-label="Personal tools" > <label id="vector-user-links-dropdown-label" for="vector-user-links-dropdown-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only " aria-hidden="true" ><span class="vector-icon mw-ui-icon-ellipsis mw-ui-icon-wikimedia-ellipsis"></span> <span class="vector-dropdown-label-text">Personal tools</span> </label> <div class="vector-dropdown-content"> <div id="p-personal" class="vector-menu mw-portlet mw-portlet-personal user-links-collapsible-item" title="User menu" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="pt-sitesupport" class="user-links-collapsible-item mw-list-item"><a href="https://donate.wikimedia.org/wiki/Special:FundraiserRedirector?utm_source=donate&amp;utm_medium=sidebar&amp;utm_campaign=C13_en.wikipedia.org&amp;uselang=en"><span>Donate</span></a></li><li id="pt-createaccount" class="user-links-collapsible-item mw-list-item"><a href="/w/index.php?title=Special:CreateAccount&amp;returnto=Extreme+ultraviolet+lithography" title="You are encouraged to create an account and log in; however, it is not mandatory"><span class="vector-icon mw-ui-icon-userAdd mw-ui-icon-wikimedia-userAdd"></span> <span>Create account</span></a></li><li id="pt-login" class="user-links-collapsible-item mw-list-item"><a href="/w/index.php?title=Special:UserLogin&amp;returnto=Extreme+ultraviolet+lithography" title="You&#039;re encouraged to log in; however, it&#039;s not mandatory. [o]" accesskey="o"><span class="vector-icon mw-ui-icon-logIn mw-ui-icon-wikimedia-logIn"></span> <span>Log in</span></a></li> </ul> </div> </div> <div id="p-user-menu-anon-editor" class="vector-menu mw-portlet mw-portlet-user-menu-anon-editor" > <div class="vector-menu-heading"> Pages for logged out editors <a href="/wiki/Help:Introduction" aria-label="Learn more about editing"><span>learn more</span></a> </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="pt-anoncontribs" class="mw-list-item"><a href="/wiki/Special:MyContributions" title="A list of edits made from this IP address [y]" accesskey="y"><span>Contributions</span></a></li><li id="pt-anontalk" class="mw-list-item"><a href="/wiki/Special:MyTalk" title="Discussion about edits from this IP address [n]" accesskey="n"><span>Talk</span></a></li> </ul> </div> </div> </div> </div> </nav> </div> </header> </div> <div class="mw-page-container"> <div class="mw-page-container-inner"> <div class="vector-sitenotice-container"> <div id="siteNotice"><!-- CentralNotice --></div> </div> <div class="vector-column-start"> <div class="vector-main-menu-container"> <div id="mw-navigation"> <nav id="mw-panel" class="vector-main-menu-landmark" aria-label="Site"> <div id="vector-main-menu-pinned-container" class="vector-pinned-container"> </div> </nav> </div> </div> <div class="vector-sticky-pinned-container"> <nav id="mw-panel-toc" aria-label="Contents" data-event-name="ui.sidebar-toc" class="mw-table-of-contents-container vector-toc-landmark"> <div id="vector-toc-pinned-container" class="vector-pinned-container"> <div id="vector-toc" class="vector-toc vector-pinnable-element"> <div class="vector-pinnable-header vector-toc-pinnable-header vector-pinnable-header-pinned" data-feature-name="toc-pinned" data-pinnable-element-id="vector-toc" > <h2 class="vector-pinnable-header-label">Contents</h2> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-pin-button" data-event-name="pinnable-header.vector-toc.pin">move to sidebar</button> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-unpin-button" data-event-name="pinnable-header.vector-toc.unpin">hide</button> </div> <ul class="vector-toc-contents" id="mw-panel-toc-list"> <li id="toc-mw-content-text" class="vector-toc-list-item vector-toc-level-1"> <a href="#" class="vector-toc-link"> <div class="vector-toc-text">(Top)</div> </a> </li> <li id="toc-History_and_economic_impact" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#History_and_economic_impact"> <div class="vector-toc-text"> <span class="vector-toc-numb">1</span> <span>History and economic impact</span> </div> </a> <button aria-controls="toc-History_and_economic_impact-sublist" class="cdx-button cdx-button--weight-quiet cdx-button--icon-only vector-toc-toggle"> <span class="vector-icon mw-ui-icon-wikimedia-expand"></span> <span>Toggle History and economic impact subsection</span> </button> <ul id="toc-History_and_economic_impact-sublist" class="vector-toc-list"> <li id="toc-Market_growth_projection" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Market_growth_projection"> <div class="vector-toc-text"> <span class="vector-toc-numb">1.1</span> <span>Market growth projection</span> </div> </a> <ul id="toc-Market_growth_projection-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-Fab_tool_output" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#Fab_tool_output"> <div class="vector-toc-text"> <span class="vector-toc-numb">2</span> <span>Fab tool output</span> </div> </a> <ul id="toc-Fab_tool_output-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Masks" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#Masks"> <div class="vector-toc-text"> <span class="vector-toc-numb">3</span> <span>Masks</span> </div> </a> <ul id="toc-Masks-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Tool" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#Tool"> <div class="vector-toc-text"> <span class="vector-toc-numb">4</span> <span>Tool</span> </div> </a> <button aria-controls="toc-Tool-sublist" class="cdx-button cdx-button--weight-quiet cdx-button--icon-only vector-toc-toggle"> <span class="vector-icon mw-ui-icon-wikimedia-expand"></span> <span>Toggle Tool subsection</span> </button> <ul id="toc-Tool-sublist" class="vector-toc-list"> <li id="toc-Resource_requirements" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Resource_requirements"> <div class="vector-toc-text"> <span class="vector-toc-numb">4.1</span> <span>Resource requirements</span> </div> </a> <ul id="toc-Resource_requirements-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Summary_of_key_features" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Summary_of_key_features"> <div class="vector-toc-text"> <span class="vector-toc-numb">4.2</span> <span>Summary of key features</span> </div> </a> <ul id="toc-Summary_of_key_features-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-Light_source_power,_throughput,_and_uptime" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#Light_source_power,_throughput,_and_uptime"> <div class="vector-toc-text"> <span class="vector-toc-numb">5</span> <span>Light source power, throughput, and uptime</span> </div> </a> <button aria-controls="toc-Light_source_power,_throughput,_and_uptime-sublist" class="cdx-button cdx-button--weight-quiet cdx-button--icon-only vector-toc-toggle"> <span class="vector-icon mw-ui-icon-wikimedia-expand"></span> <span>Toggle Light source power, throughput, and uptime subsection</span> </button> <ul id="toc-Light_source_power,_throughput,_and_uptime-sublist" class="vector-toc-list"> <li id="toc-Tool_uptime" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Tool_uptime"> <div class="vector-toc-text"> <span class="vector-toc-numb">5.1</span> <span>Tool uptime</span> </div> </a> <ul id="toc-Tool_uptime-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Comparison_to_other_lithography_light_sources" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Comparison_to_other_lithography_light_sources"> <div class="vector-toc-text"> <span class="vector-toc-numb">5.2</span> <span>Comparison to other lithography light sources</span> </div> </a> <ul id="toc-Comparison_to_other_lithography_light_sources-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-EUV-specific_optical_issues" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#EUV-specific_optical_issues"> <div class="vector-toc-text"> <span class="vector-toc-numb">6</span> <span>EUV-specific optical issues</span> </div> </a> <button aria-controls="toc-EUV-specific_optical_issues-sublist" class="cdx-button cdx-button--weight-quiet cdx-button--icon-only vector-toc-toggle"> <span class="vector-icon mw-ui-icon-wikimedia-expand"></span> <span>Toggle EUV-specific optical issues subsection</span> </button> <ul id="toc-EUV-specific_optical_issues-sublist" class="vector-toc-list"> <li id="toc-Reflective_optics" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Reflective_optics"> <div class="vector-toc-text"> <span class="vector-toc-numb">6.1</span> <span>Reflective optics</span> </div> </a> <ul id="toc-Reflective_optics-sublist" class="vector-toc-list"> <li id="toc-Pattern_shift_from_defocus_(non-telecentricity)" class="vector-toc-list-item vector-toc-level-3"> <a class="vector-toc-link" href="#Pattern_shift_from_defocus_(non-telecentricity)"> <div class="vector-toc-text"> <span class="vector-toc-numb">6.1.1</span> <span>Pattern shift from defocus (non-telecentricity)</span> </div> </a> <ul id="toc-Pattern_shift_from_defocus_(non-telecentricity)-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Slit_position_dependence" class="vector-toc-list-item vector-toc-level-3"> <a class="vector-toc-link" href="#Slit_position_dependence"> <div class="vector-toc-text"> <span class="vector-toc-numb">6.1.2</span> <span>Slit position dependence</span> </div> </a> <ul id="toc-Slit_position_dependence-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-Wavelength_bandwidth_(chromatic_aberration)" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Wavelength_bandwidth_(chromatic_aberration)"> <div class="vector-toc-text"> <span class="vector-toc-numb">6.2</span> <span>Wavelength bandwidth (chromatic aberration)</span> </div> </a> <ul id="toc-Wavelength_bandwidth_(chromatic_aberration)-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Flare" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Flare"> <div class="vector-toc-text"> <span class="vector-toc-numb">6.3</span> <span>Flare</span> </div> </a> <ul id="toc-Flare-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Line_tip_effects" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Line_tip_effects"> <div class="vector-toc-text"> <span class="vector-toc-numb">6.4</span> <span>Line tip effects</span> </div> </a> <ul id="toc-Line_tip_effects-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-Enhancement_opportunities_for_EUV_patterning" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#Enhancement_opportunities_for_EUV_patterning"> <div class="vector-toc-text"> <span class="vector-toc-numb">7</span> <span>Enhancement opportunities for EUV patterning</span> </div> </a> <button aria-controls="toc-Enhancement_opportunities_for_EUV_patterning-sublist" class="cdx-button cdx-button--weight-quiet cdx-button--icon-only vector-toc-toggle"> <span class="vector-icon mw-ui-icon-wikimedia-expand"></span> <span>Toggle Enhancement opportunities for EUV patterning subsection</span> </button> <ul id="toc-Enhancement_opportunities_for_EUV_patterning-sublist" class="vector-toc-list"> <li id="toc-Assist_features" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Assist_features"> <div class="vector-toc-text"> <span class="vector-toc-numb">7.1</span> <span>Assist features</span> </div> </a> <ul id="toc-Assist_features-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Source-mask_optimization" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Source-mask_optimization"> <div class="vector-toc-text"> <span class="vector-toc-numb">7.2</span> <span>Source-mask optimization</span> </div> </a> <ul id="toc-Source-mask_optimization-sublist" class="vector-toc-list"> <li id="toc-Impact_of_slit_position_and_aberrations" class="vector-toc-list-item vector-toc-level-3"> <a class="vector-toc-link" href="#Impact_of_slit_position_and_aberrations"> <div class="vector-toc-text"> <span class="vector-toc-numb">7.2.1</span> <span>Impact of slit position and aberrations</span> </div> </a> <ul id="toc-Impact_of_slit_position_and_aberrations-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Pitch-dependent_focus_windows" class="vector-toc-list-item vector-toc-level-3"> <a class="vector-toc-link" href="#Pitch-dependent_focus_windows"> <div class="vector-toc-text"> <span class="vector-toc-numb">7.2.2</span> <span>Pitch-dependent focus windows</span> </div> </a> <ul id="toc-Pitch-dependent_focus_windows-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Reduction_of_pupil_fill" class="vector-toc-list-item vector-toc-level-3"> <a class="vector-toc-link" href="#Reduction_of_pupil_fill"> <div class="vector-toc-text"> <span class="vector-toc-numb">7.2.3</span> <span>Reduction of pupil fill</span> </div> </a> <ul id="toc-Reduction_of_pupil_fill-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-Phase_shift_masks" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Phase_shift_masks"> <div class="vector-toc-text"> <span class="vector-toc-numb">7.3</span> <span>Phase shift masks</span> </div> </a> <ul id="toc-Phase_shift_masks-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-EUV_photoresist_exposure:_the_role_of_electrons" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#EUV_photoresist_exposure:_the_role_of_electrons"> <div class="vector-toc-text"> <span class="vector-toc-numb">8</span> <span>EUV photoresist exposure: the role of electrons</span> </div> </a> <button aria-controls="toc-EUV_photoresist_exposure:_the_role_of_electrons-sublist" class="cdx-button cdx-button--weight-quiet cdx-button--icon-only vector-toc-toggle"> <span class="vector-icon mw-ui-icon-wikimedia-expand"></span> <span>Toggle EUV photoresist exposure: the role of electrons subsection</span> </button> <ul id="toc-EUV_photoresist_exposure:_the_role_of_electrons-sublist" class="vector-toc-list"> <li id="toc-Effect_of_underlying_layers" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Effect_of_underlying_layers"> <div class="vector-toc-text"> <span class="vector-toc-numb">8.1</span> <span>Effect of underlying layers</span> </div> </a> <ul id="toc-Effect_of_underlying_layers-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-Contamination_effects" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#Contamination_effects"> <div class="vector-toc-text"> <span class="vector-toc-numb">9</span> <span>Contamination effects</span> </div> </a> <button aria-controls="toc-Contamination_effects-sublist" class="cdx-button cdx-button--weight-quiet cdx-button--icon-only vector-toc-toggle"> <span class="vector-icon mw-ui-icon-wikimedia-expand"></span> <span>Toggle Contamination effects subsection</span> </button> <ul id="toc-Contamination_effects-sublist" class="vector-toc-list"> <li id="toc-Resist_outgassing" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Resist_outgassing"> <div class="vector-toc-text"> <span class="vector-toc-numb">9.1</span> <span>Resist outgassing</span> </div> </a> <ul id="toc-Resist_outgassing-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Tin_redeposition" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Tin_redeposition"> <div class="vector-toc-text"> <span class="vector-toc-numb">9.2</span> <span>Tin redeposition</span> </div> </a> <ul id="toc-Tin_redeposition-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Hydrogen_blistering" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Hydrogen_blistering"> <div class="vector-toc-text"> <span class="vector-toc-numb">9.3</span> <span>Hydrogen blistering</span> </div> </a> <ul id="toc-Hydrogen_blistering-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Tin_spitting" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Tin_spitting"> <div class="vector-toc-text"> <span class="vector-toc-numb">9.4</span> <span>Tin spitting</span> </div> </a> <ul id="toc-Tin_spitting-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Resist_erosion" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Resist_erosion"> <div class="vector-toc-text"> <span class="vector-toc-numb">9.5</span> <span>Resist erosion</span> </div> </a> <ul id="toc-Resist_erosion-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Membrane" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Membrane"> <div class="vector-toc-text"> <span class="vector-toc-numb">9.6</span> <span>Membrane</span> </div> </a> <ul id="toc-Membrane-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-EUV-induced_plasma" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#EUV-induced_plasma"> <div class="vector-toc-text"> <span class="vector-toc-numb">10</span> <span>EUV-induced plasma</span> </div> </a> <ul id="toc-EUV-induced_plasma-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Mask_defects" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#Mask_defects"> <div class="vector-toc-text"> <span class="vector-toc-numb">11</span> <span>Mask defects</span> </div> </a> <button aria-controls="toc-Mask_defects-sublist" class="cdx-button cdx-button--weight-quiet cdx-button--icon-only vector-toc-toggle"> <span class="vector-icon mw-ui-icon-wikimedia-expand"></span> <span>Toggle Mask defects subsection</span> </button> <ul id="toc-Mask_defects-sublist" class="vector-toc-list"> <li id="toc-Multilayer_reflectivity_random_variations" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Multilayer_reflectivity_random_variations"> <div class="vector-toc-text"> <span class="vector-toc-numb">11.1</span> <span>Multilayer reflectivity random variations</span> </div> </a> <ul id="toc-Multilayer_reflectivity_random_variations-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Multilayer_damage" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Multilayer_damage"> <div class="vector-toc-text"> <span class="vector-toc-numb">11.2</span> <span>Multilayer damage</span> </div> </a> <ul id="toc-Multilayer_damage-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Pellicles" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Pellicles"> <div class="vector-toc-text"> <span class="vector-toc-numb">11.3</span> <span>Pellicles</span> </div> </a> <ul id="toc-Pellicles-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Hydrogen_bulging_defects" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Hydrogen_bulging_defects"> <div class="vector-toc-text"> <span class="vector-toc-numb">11.4</span> <span>Hydrogen bulging defects</span> </div> </a> <ul id="toc-Hydrogen_bulging_defects-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-EUV_stochastic_issues" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#EUV_stochastic_issues"> <div class="vector-toc-text"> <span class="vector-toc-numb">12</span> <span>EUV stochastic issues</span> </div> </a> <button aria-controls="toc-EUV_stochastic_issues-sublist" class="cdx-button cdx-button--weight-quiet cdx-button--icon-only vector-toc-toggle"> <span class="vector-icon mw-ui-icon-wikimedia-expand"></span> <span>Toggle EUV stochastic issues subsection</span> </button> <ul id="toc-EUV_stochastic_issues-sublist" class="vector-toc-list"> <li id="toc-Pupil_fill_ratio" class="vector-toc-list-item vector-toc-level-2"> <a class="vector-toc-link" href="#Pupil_fill_ratio"> <div class="vector-toc-text"> <span class="vector-toc-numb">12.1</span> <span>Pupil fill ratio</span> </div> </a> <ul id="toc-Pupil_fill_ratio-sublist" class="vector-toc-list"> </ul> </li> </ul> </li> <li id="toc-Use_with_multiple-patterning" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#Use_with_multiple-patterning"> <div class="vector-toc-text"> <span class="vector-toc-numb">13</span> <span>Use with multiple-patterning</span> </div> </a> <ul id="toc-Use_with_multiple-patterning-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Single-patterning_extension:_anamorphic_high-NA" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#Single-patterning_extension:_anamorphic_high-NA"> <div class="vector-toc-text"> <span class="vector-toc-numb">14</span> <span>Single-patterning extension: anamorphic high-NA</span> </div> </a> <ul id="toc-Single-patterning_extension:_anamorphic_high-NA-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Beyond_EUV_wavelength" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#Beyond_EUV_wavelength"> <div class="vector-toc-text"> <span class="vector-toc-numb">15</span> <span>Beyond EUV wavelength</span> </div> </a> <ul id="toc-Beyond_EUV_wavelength-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-References" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#References"> <div class="vector-toc-text"> <span class="vector-toc-numb">16</span> <span>References</span> </div> </a> <ul id="toc-References-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Further_reading" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#Further_reading"> <div class="vector-toc-text"> <span class="vector-toc-numb">17</span> <span>Further reading</span> </div> </a> <ul id="toc-Further_reading-sublist" class="vector-toc-list"> </ul> </li> <li id="toc-Related_links" class="vector-toc-list-item vector-toc-level-1"> <a class="vector-toc-link" href="#Related_links"> <div class="vector-toc-text"> <span class="vector-toc-numb">18</span> <span>Related links</span> </div> </a> <ul id="toc-Related_links-sublist" class="vector-toc-list"> </ul> </li> </ul> </div> </div> </nav> </div> </div> <div class="mw-content-container"> <main id="content" class="mw-body"> <header class="mw-body-header vector-page-titlebar"> <nav aria-label="Contents" class="vector-toc-landmark"> <div id="vector-page-titlebar-toc" class="vector-dropdown vector-page-titlebar-toc vector-button-flush-left" > <input type="checkbox" id="vector-page-titlebar-toc-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-page-titlebar-toc" class="vector-dropdown-checkbox " aria-label="Toggle the table of contents" > <label id="vector-page-titlebar-toc-label" for="vector-page-titlebar-toc-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--icon-only " aria-hidden="true" ><span class="vector-icon mw-ui-icon-listBullet mw-ui-icon-wikimedia-listBullet"></span> <span class="vector-dropdown-label-text">Toggle the table of contents</span> </label> <div class="vector-dropdown-content"> <div id="vector-page-titlebar-toc-unpinned-container" class="vector-unpinned-container"> </div> </div> </div> </nav> <h1 id="firstHeading" class="firstHeading mw-first-heading"><span class="mw-page-title-main">Extreme ultraviolet lithography</span></h1> <div id="p-lang-btn" class="vector-dropdown mw-portlet mw-portlet-lang" > <input type="checkbox" id="p-lang-btn-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-p-lang-btn" class="vector-dropdown-checkbox mw-interlanguage-selector" aria-label="Go to an article in another language. Available in 13 languages" > <label id="p-lang-btn-label" for="p-lang-btn-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet cdx-button--action-progressive mw-portlet-lang-heading-13" aria-hidden="true" ><span class="vector-icon mw-ui-icon-language-progressive mw-ui-icon-wikimedia-language-progressive"></span> <span class="vector-dropdown-label-text">13 languages</span> </label> <div class="vector-dropdown-content"> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li class="interlanguage-link interwiki-ar mw-list-item"><a href="https://ar.wikipedia.org/wiki/%D8%A7%D9%84%D8%A3%D8%B4%D8%B9%D8%A9_%D9%81%D9%88%D9%82_%D8%A7%D9%84%D8%A8%D9%86%D9%81%D8%B3%D8%AC%D9%8A%D8%A9_%D8%A7%D9%84%D9%85%D8%AA%D8%B7%D8%B1%D9%81%D8%A9" title="الأشعة فوق البنفسجية المتطرفة – Arabic" lang="ar" hreflang="ar" data-title="الأشعة فوق البنفسجية المتطرفة" data-language-autonym="العربية" data-language-local-name="Arabic" class="interlanguage-link-target"><span>العربية</span></a></li><li class="interlanguage-link interwiki-ca mw-list-item"><a href="https://ca.wikipedia.org/wiki/Fotolitografia_ultraviolada_extrema_(electr%C3%B2nica)" title="Fotolitografia ultraviolada extrema (electrònica) – Catalan" lang="ca" hreflang="ca" data-title="Fotolitografia ultraviolada extrema (electrònica)" data-language-autonym="Català" data-language-local-name="Catalan" class="interlanguage-link-target"><span>Català</span></a></li><li class="interlanguage-link interwiki-de mw-list-item"><a href="https://de.wikipedia.org/wiki/EUV-Lithografie" title="EUV-Lithografie – German" lang="de" hreflang="de" data-title="EUV-Lithografie" data-language-autonym="Deutsch" data-language-local-name="German" class="interlanguage-link-target"><span>Deutsch</span></a></li><li class="interlanguage-link interwiki-es mw-list-item"><a href="https://es.wikipedia.org/wiki/Litograf%C3%ADa_ultravioleta_extrema" title="Litografía ultravioleta extrema – Spanish" lang="es" hreflang="es" data-title="Litografía ultravioleta extrema" data-language-autonym="Español" data-language-local-name="Spanish" class="interlanguage-link-target"><span>Español</span></a></li><li class="interlanguage-link interwiki-fa mw-list-item"><a href="https://fa.wikipedia.org/wiki/%D9%84%DB%8C%D8%AA%D9%88%DA%AF%D8%B1%D8%A7%D9%81%DB%8C_%D9%81%D8%B1%D8%A7%D8%A8%D9%86%D9%81%D8%B4_%D9%81%D8%B1%DB%8C%D9%86" title="لیتوگرافی فرابنفش فرین – Persian" lang="fa" hreflang="fa" data-title="لیتوگرافی فرابنفش فرین" data-language-autonym="فارسی" data-language-local-name="Persian" class="interlanguage-link-target"><span>فارسی</span></a></li><li class="interlanguage-link interwiki-fr mw-list-item"><a href="https://fr.wikipedia.org/wiki/Lithographie_extr%C3%AAme_ultraviolet" title="Lithographie extrême ultraviolet – French" lang="fr" hreflang="fr" data-title="Lithographie extrême ultraviolet" data-language-autonym="Français" data-language-local-name="French" class="interlanguage-link-target"><span>Français</span></a></li><li class="interlanguage-link interwiki-ko mw-list-item"><a href="https://ko.wikipedia.org/wiki/%EA%B7%B9%EC%9E%90%EC%99%B8%EC%84%A0_%EB%A6%AC%EC%86%8C%EA%B7%B8%EB%9E%98%ED%94%BC" title="극자외선 리소그래피 – Korean" lang="ko" hreflang="ko" data-title="극자외선 리소그래피" data-language-autonym="한국어" data-language-local-name="Korean" class="interlanguage-link-target"><span>한국어</span></a></li><li class="interlanguage-link interwiki-id mw-list-item"><a href="https://id.wikipedia.org/wiki/Litografi_ultraviolet_ekstrim" title="Litografi ultraviolet ekstrim – Indonesian" lang="id" hreflang="id" data-title="Litografi ultraviolet ekstrim" data-language-autonym="Bahasa Indonesia" data-language-local-name="Indonesian" class="interlanguage-link-target"><span>Bahasa Indonesia</span></a></li><li class="interlanguage-link interwiki-it mw-list-item"><a href="https://it.wikipedia.org/wiki/Litografia_ultravioletta_estrema" title="Litografia ultravioletta estrema – Italian" lang="it" hreflang="it" data-title="Litografia ultravioletta estrema" data-language-autonym="Italiano" data-language-local-name="Italian" class="interlanguage-link-target"><span>Italiano</span></a></li><li class="interlanguage-link interwiki-ja mw-list-item"><a href="https://ja.wikipedia.org/wiki/%E6%A5%B5%E7%AB%AF%E7%B4%AB%E5%A4%96%E7%B7%9A%E3%83%AA%E3%82%BD%E3%82%B0%E3%83%A9%E3%83%95%E3%82%A3" title="極端紫外線リソグラフィ – Japanese" lang="ja" hreflang="ja" data-title="極端紫外線リソグラフィ" data-language-autonym="日本語" data-language-local-name="Japanese" class="interlanguage-link-target"><span>日本語</span></a></li><li class="interlanguage-link interwiki-ru mw-list-item"><a href="https://ru.wikipedia.org/wiki/%D0%A4%D0%BE%D1%82%D0%BE%D0%BB%D0%B8%D1%82%D0%BE%D0%B3%D1%80%D0%B0%D1%84%D0%B8%D1%8F_%D0%B2_%D0%B3%D0%BB%D1%83%D0%B1%D0%BE%D0%BA%D0%BE%D0%BC_%D1%83%D0%BB%D1%8C%D1%82%D1%80%D0%B0%D1%84%D0%B8%D0%BE%D0%BB%D0%B5%D1%82%D0%B5" title="Фотолитография в глубоком ультрафиолете – Russian" lang="ru" hreflang="ru" data-title="Фотолитография в глубоком ультрафиолете" data-language-autonym="Русский" data-language-local-name="Russian" class="interlanguage-link-target"><span>Русский</span></a></li><li class="interlanguage-link interwiki-wuu mw-list-item"><a href="https://wuu.wikipedia.org/wiki/%E6%9E%81%E7%B4%AB%E5%A4%96%E5%85%89%E5%88%BB" title="极紫外光刻 – Wu" lang="wuu" hreflang="wuu" data-title="极紫外光刻" data-language-autonym="吴语" data-language-local-name="Wu" class="interlanguage-link-target"><span>吴语</span></a></li><li class="interlanguage-link interwiki-zh mw-list-item"><a href="https://zh.wikipedia.org/wiki/%E6%9E%81%E7%B4%AB%E5%A4%96%E5%85%89%E5%88%BB" title="极紫外光刻 – Chinese" lang="zh" hreflang="zh" data-title="极紫外光刻" data-language-autonym="中文" data-language-local-name="Chinese" class="interlanguage-link-target"><span>中文</span></a></li> </ul> <div class="after-portlet after-portlet-lang"><span class="wb-langlinks-edit wb-langlinks-link"><a href="https://www.wikidata.org/wiki/Special:EntityPage/Q371965#sitelinks-wikipedia" title="Edit interlanguage links" class="wbc-editpage">Edit links</a></span></div> </div> </div> </div> </header> <div class="vector-page-toolbar"> <div class="vector-page-toolbar-container"> <div id="left-navigation"> <nav aria-label="Namespaces"> <div id="p-associated-pages" class="vector-menu vector-menu-tabs mw-portlet mw-portlet-associated-pages" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="ca-nstab-main" class="selected vector-tab-noicon mw-list-item"><a href="/wiki/Extreme_ultraviolet_lithography" title="View the content page [c]" accesskey="c"><span>Article</span></a></li><li id="ca-talk" class="vector-tab-noicon mw-list-item"><a href="/wiki/Talk:Extreme_ultraviolet_lithography" rel="discussion" title="Discuss improvements to the content page [t]" accesskey="t"><span>Talk</span></a></li> </ul> </div> </div> <div id="vector-variants-dropdown" class="vector-dropdown emptyPortlet" > <input type="checkbox" id="vector-variants-dropdown-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-variants-dropdown" class="vector-dropdown-checkbox " aria-label="Change language variant" > <label id="vector-variants-dropdown-label" for="vector-variants-dropdown-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet" aria-hidden="true" ><span class="vector-dropdown-label-text">English</span> </label> <div class="vector-dropdown-content"> <div id="p-variants" class="vector-menu mw-portlet mw-portlet-variants emptyPortlet" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> </ul> </div> </div> </div> </div> </nav> </div> <div id="right-navigation" class="vector-collapsible"> <nav aria-label="Views"> <div id="p-views" class="vector-menu vector-menu-tabs mw-portlet mw-portlet-views" > <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="ca-view" class="selected vector-tab-noicon mw-list-item"><a href="/wiki/Extreme_ultraviolet_lithography"><span>Read</span></a></li><li id="ca-edit" class="vector-tab-noicon mw-list-item"><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit" title="Edit this page [e]" accesskey="e"><span>Edit</span></a></li><li id="ca-history" class="vector-tab-noicon mw-list-item"><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=history" title="Past revisions of this page [h]" accesskey="h"><span>View history</span></a></li> </ul> </div> </div> </nav> <nav class="vector-page-tools-landmark" aria-label="Page tools"> <div id="vector-page-tools-dropdown" class="vector-dropdown vector-page-tools-dropdown" > <input type="checkbox" id="vector-page-tools-dropdown-checkbox" role="button" aria-haspopup="true" data-event-name="ui.dropdown-vector-page-tools-dropdown" class="vector-dropdown-checkbox " aria-label="Tools" > <label id="vector-page-tools-dropdown-label" for="vector-page-tools-dropdown-checkbox" class="vector-dropdown-label cdx-button cdx-button--fake-button cdx-button--fake-button--enabled cdx-button--weight-quiet" aria-hidden="true" ><span class="vector-dropdown-label-text">Tools</span> </label> <div class="vector-dropdown-content"> <div id="vector-page-tools-unpinned-container" class="vector-unpinned-container"> <div id="vector-page-tools" class="vector-page-tools vector-pinnable-element"> <div class="vector-pinnable-header vector-page-tools-pinnable-header vector-pinnable-header-unpinned" data-feature-name="page-tools-pinned" data-pinnable-element-id="vector-page-tools" data-pinned-container-id="vector-page-tools-pinned-container" data-unpinned-container-id="vector-page-tools-unpinned-container" > <div class="vector-pinnable-header-label">Tools</div> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-pin-button" data-event-name="pinnable-header.vector-page-tools.pin">move to sidebar</button> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-unpin-button" data-event-name="pinnable-header.vector-page-tools.unpin">hide</button> </div> <div id="p-cactions" class="vector-menu mw-portlet mw-portlet-cactions emptyPortlet vector-has-collapsible-items" title="More options" > <div class="vector-menu-heading"> Actions </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="ca-more-view" class="selected vector-more-collapsible-item mw-list-item"><a href="/wiki/Extreme_ultraviolet_lithography"><span>Read</span></a></li><li id="ca-more-edit" class="vector-more-collapsible-item mw-list-item"><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit" title="Edit this page [e]" accesskey="e"><span>Edit</span></a></li><li id="ca-more-history" class="vector-more-collapsible-item mw-list-item"><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=history"><span>View history</span></a></li> </ul> </div> </div> <div id="p-tb" class="vector-menu mw-portlet mw-portlet-tb" > <div class="vector-menu-heading"> General </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="t-whatlinkshere" class="mw-list-item"><a href="/wiki/Special:WhatLinksHere/Extreme_ultraviolet_lithography" title="List of all English Wikipedia pages containing links to this page [j]" accesskey="j"><span>What links here</span></a></li><li id="t-recentchangeslinked" class="mw-list-item"><a href="/wiki/Special:RecentChangesLinked/Extreme_ultraviolet_lithography" rel="nofollow" title="Recent changes in pages linked from this page [k]" accesskey="k"><span>Related changes</span></a></li><li id="t-upload" class="mw-list-item"><a href="/wiki/Wikipedia:File_Upload_Wizard" title="Upload files [u]" accesskey="u"><span>Upload file</span></a></li><li id="t-specialpages" class="mw-list-item"><a href="/wiki/Special:SpecialPages" title="A list of all special pages [q]" accesskey="q"><span>Special pages</span></a></li><li id="t-permalink" class="mw-list-item"><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;oldid=1259081914" title="Permanent link to this revision of this page"><span>Permanent link</span></a></li><li id="t-info" class="mw-list-item"><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=info" title="More information about this page"><span>Page information</span></a></li><li id="t-cite" class="mw-list-item"><a href="/w/index.php?title=Special:CiteThisPage&amp;page=Extreme_ultraviolet_lithography&amp;id=1259081914&amp;wpFormIdentifier=titleform" title="Information on how to cite this page"><span>Cite this page</span></a></li><li id="t-urlshortener" class="mw-list-item"><a href="/w/index.php?title=Special:UrlShortener&amp;url=https%3A%2F%2Fen.wikipedia.org%2Fwiki%2FExtreme_ultraviolet_lithography"><span>Get shortened URL</span></a></li><li id="t-urlshortener-qrcode" class="mw-list-item"><a href="/w/index.php?title=Special:QrCode&amp;url=https%3A%2F%2Fen.wikipedia.org%2Fwiki%2FExtreme_ultraviolet_lithography"><span>Download QR code</span></a></li> </ul> </div> </div> <div id="p-coll-print_export" class="vector-menu mw-portlet mw-portlet-coll-print_export" > <div class="vector-menu-heading"> Print/export </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li id="coll-download-as-rl" class="mw-list-item"><a href="/w/index.php?title=Special:DownloadAsPdf&amp;page=Extreme_ultraviolet_lithography&amp;action=show-download-screen" title="Download this page as a PDF file"><span>Download as PDF</span></a></li><li id="t-print" class="mw-list-item"><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;printable=yes" title="Printable version of this page [p]" accesskey="p"><span>Printable version</span></a></li> </ul> </div> </div> <div id="p-wikibase-otherprojects" class="vector-menu mw-portlet mw-portlet-wikibase-otherprojects" > <div class="vector-menu-heading"> In other projects </div> <div class="vector-menu-content"> <ul class="vector-menu-content-list"> <li class="wb-otherproject-link wb-otherproject-commons mw-list-item"><a href="https://commons.wikimedia.org/wiki/Category:Extreme_ultraviolet_lithography" hreflang="en"><span>Wikimedia Commons</span></a></li><li id="t-wikibase" class="wb-otherproject-link wb-otherproject-wikibase-dataitem mw-list-item"><a href="https://www.wikidata.org/wiki/Special:EntityPage/Q371965" title="Structured data on this page hosted by Wikidata [g]" accesskey="g"><span>Wikidata item</span></a></li> </ul> </div> </div> </div> </div> </div> </div> </nav> </div> </div> </div> <div class="vector-column-end"> <div class="vector-sticky-pinned-container"> <nav class="vector-page-tools-landmark" aria-label="Page tools"> <div id="vector-page-tools-pinned-container" class="vector-pinned-container"> </div> </nav> <nav class="vector-appearance-landmark" aria-label="Appearance"> <div id="vector-appearance-pinned-container" class="vector-pinned-container"> <div id="vector-appearance" class="vector-appearance vector-pinnable-element"> <div class="vector-pinnable-header vector-appearance-pinnable-header vector-pinnable-header-pinned" data-feature-name="appearance-pinned" data-pinnable-element-id="vector-appearance" data-pinned-container-id="vector-appearance-pinned-container" data-unpinned-container-id="vector-appearance-unpinned-container" > <div class="vector-pinnable-header-label">Appearance</div> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-pin-button" data-event-name="pinnable-header.vector-appearance.pin">move to sidebar</button> <button class="vector-pinnable-header-toggle-button vector-pinnable-header-unpin-button" data-event-name="pinnable-header.vector-appearance.unpin">hide</button> </div> </div> </div> </nav> </div> </div> <div id="bodyContent" class="vector-body" aria-labelledby="firstHeading" data-mw-ve-target-container> <div class="vector-body-before-content"> <div class="mw-indicators"> </div> <div id="siteSub" class="noprint">From Wikipedia, the free encyclopedia</div> </div> <div id="contentSub"><div id="mw-content-subtitle"></div></div> <div id="mw-content-text" class="mw-body-content"><div class="mw-content-ltr mw-parser-output" lang="en" dir="ltr"><div class="shortdescription nomobile noexcerpt noprint searchaux" style="display:none">Lithography using 13.5 nm UV light</div> <p><b>Extreme ultraviolet lithography</b> (<b>EUVL</b>, also known simply as <b>EUV</b>) is a technology used in the <a href="/wiki/Semiconductor_industry" title="Semiconductor industry">semiconductor industry</a> for manufacturing <a href="/wiki/Integrated_circuit" title="Integrated circuit">integrated circuits</a> (ICs). It is a type of <a href="/wiki/Photolithography" title="Photolithography">photolithography</a> that uses 13.5 nm <a href="/wiki/Extreme_ultraviolet" title="Extreme ultraviolet">extreme ultraviolet</a> (EUV) light from a laser-pulsed tin (Sn) plasma to create intricate patterns on semiconductor substrates. </p><p>As of 2023<sup class="plainlinks noexcerpt noprint asof-tag update" style="display:none;"><a class="external text" href="https://en.wikipedia.org/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit">&#91;update&#93;</a></sup>, <a href="/wiki/ASML_Holding" title="ASML Holding">ASML Holding</a> is the only company that produces and sells EUV systems for chip production, targeting <a href="/wiki/5_nm" class="mw-redirect" title="5 nm">5 nanometer</a> (nm) and <a href="/wiki/3_nm" class="mw-redirect" title="3 nm">3 nm</a> process nodes. </p><p>The EUV wavelengths that are used in EUVL are near 13.5&#160;<a href="/wiki/Nanometer" class="mw-redirect" title="Nanometer">nanometers</a> (nm), using a laser-pulsed tin (Sn) droplet plasma to produce a pattern by using a reflective photomask to expose a substrate covered by <a href="/wiki/Photoresist" title="Photoresist">photoresist</a>. Tin ions in the ionic states from Sn&#160;IX to Sn&#160;XIV give photon emission spectral peaks around 13.5&#160;nm from 4p<sup>6</sup>4d<sup><i>n</i></sup> – 4p<sup>5</sup>4d<sup><i>n</i>+1</sup> + 4d<sup><i>n</i>−1</sup>4f ionic state transitions.<sup id="cite_ref-1" class="reference"><a href="#cite_note-1"><span class="cite-bracket">&#91;</span>1<span class="cite-bracket">&#93;</span></a></sup> </p> <figure class="mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:EUV_photoelectrons_and_secondaries_(vector).svg" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/c/cd/EUV_photoelectrons_and_secondaries_%28vector%29.svg/350px-EUV_photoelectrons_and_secondaries_%28vector%29.svg.png" decoding="async" width="350" height="215" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/c/cd/EUV_photoelectrons_and_secondaries_%28vector%29.svg/525px-EUV_photoelectrons_and_secondaries_%28vector%29.svg.png 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/c/cd/EUV_photoelectrons_and_secondaries_%28vector%29.svg/700px-EUV_photoelectrons_and_secondaries_%28vector%29.svg.png 2x" data-file-width="249" data-file-height="153" /></a><figcaption>Image formation mechanism in EUV lithography. <style data-mw-deduplicate="TemplateStyles:r981673959">.mw-parser-output .legend{page-break-inside:avoid;break-inside:avoid-column}.mw-parser-output .legend-color{display:inline-block;min-width:1.25em;height:1.25em;line-height:1.25;margin:1px 0;text-align:center;border:1px solid black;background-color:transparent;color:black}.mw-parser-output .legend-text{}</style><div class="legend"><span class="legend-color mw-no-invert" style="background-color:grey; color:black;">&#160;</span>&#160;EUV multilayer of <a href="/wiki/Silicon" title="Silicon">silicon</a>-based glass spacer and <a href="/wiki/Molybdenum" title="Molybdenum">molybdenum</a> reflectors</div><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r981673959"><div class="legend"><span class="legend-color mw-no-invert" style="background-color:purple; color:white;">&#160;</span>&#160;Absorber</div> <link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r981673959"><div class="legend"><span class="legend-color mw-no-invert" style="background-color:red; color:black;">&#160;</span>&#160;EUV radiation</div> <link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r981673959"><div class="legend"><span class="legend-color mw-no-invert" style="background-color:orange; color:black;">&#160;</span>&#160;Resist</div> <link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r981673959"><div class="legend"><span class="legend-color mw-no-invert" style="background-color:brown; color:white;">&#160;</span>&#160;Substrate</div> <link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r981673959"><div class="legend"><span class="legend-color mw-no-invert" style="background-color:blue; color:white;">&#160;</span>&#160;Secondary electrons</div> EUV multilayer and absorber constituting mask pattern for imaging a line. EUV radiation reflected from the mask pattern is absorbed in the resist and substrate, producing photoelectrons and secondary electrons. These electrons increase the extent of chemical reactions in the resist. A secondary-electron pattern that is random in nature is superimposed on the optical image. The unwanted secondary-electron exposure results in loss of resolution, observable line edge roughness and linewidth variation.</figcaption></figure> <style data-mw-deduplicate="TemplateStyles:r886046785">.mw-parser-output .toclimit-2 .toclevel-1 ul,.mw-parser-output .toclimit-3 .toclevel-2 ul,.mw-parser-output .toclimit-4 .toclevel-3 ul,.mw-parser-output .toclimit-5 .toclevel-4 ul,.mw-parser-output .toclimit-6 .toclevel-5 ul,.mw-parser-output .toclimit-7 .toclevel-6 ul{display:none}</style><div class="toclimit-3"><meta property="mw:PageProp/toc" /></div> <div class="mw-heading mw-heading2"><h2 id="History_and_economic_impact">History and economic impact</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=1" title="Edit section: History and economic impact"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>In the 1960s, visible light was used for the production of integrated circuits, with wavelengths as small as 435&#160;<a href="/wiki/Nanometer" class="mw-redirect" title="Nanometer">nm</a> (<a href="/wiki/Mercury-vapor_lamp#Emission_line_spectrum" title="Mercury-vapor lamp">mercury "g&#160;line"</a>). </p><p>Later, <a href="/wiki/Ultraviolet" title="Ultraviolet">ultraviolet</a> (UV) light was used, at first with a wavelength of 365&#160;nm (mercury "i&#160;line"), then with excimer wavelengths, first of 248&#160;nm (<a href="/wiki/Krypton_fluoride_laser" title="Krypton fluoride laser">krypton fluoride laser</a>), then 193&#160;nm (<a href="/wiki/Argon_fluoride_laser" title="Argon fluoride laser">argon fluoride laser</a>), which was called deep UV. </p><p>The next step, going even smaller, was called extreme UV, or EUV. The EUV technology was considered impossible by many. </p><p>EUV light is absorbed by glass and air, so instead of using lenses to focus the beams of light as done previously, mirrors in vacuum would be needed. A reliable production of EUV was also problematic. Then, leading producers of steppers <a href="/wiki/Canon_Inc." title="Canon Inc.">Canon</a> and <a href="/wiki/Nikon" title="Nikon">Nikon</a> stopped development, and some predicted the end of <a href="/wiki/Moore%27s_law" title="Moore&#39;s law">Moore's law</a>.<sup class="noprint Inline-Template Template-Fact" style="white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:Citation_needed" title="Wikipedia:Citation needed"><span title="This claim needs references to reliable sources. (May 2023)">citation needed</span></a></i>&#93;</sup> </p><p>In 1991, scientists at <a href="/wiki/Bell_Labs" title="Bell Labs">Bell Labs</a> published a paper demonstrating the possibility of using a wavelength of 13.8&#160;nm for the so-called soft X-ray projection lithography.<sup id="cite_ref-2" class="reference"><a href="#cite_note-2"><span class="cite-bracket">&#91;</span>2<span class="cite-bracket">&#93;</span></a></sup> </p><p>To address the challenge of EUV lithography, researchers at <a href="/wiki/Lawrence_Livermore_National_Laboratory" title="Lawrence Livermore National Laboratory">Lawrence Livermore National Laboratory</a>, <a href="/wiki/Lawrence_Berkeley_National_Laboratory" title="Lawrence Berkeley National Laboratory">Lawrence Berkeley National Laboratory</a>, and <a href="/wiki/Sandia_National_Laboratories" title="Sandia National Laboratories">Sandia National Laboratories</a> were funded in the 1990s to perform basic research into the technical obstacles. The results of this successful effort were disseminated via a public/private partnership Cooperative R&amp;D Agreement (CRADA) with the invention and rights wholly owned by the US government, but licensed and distributed under approval by <a href="/wiki/United_States_Department_of_Energy" title="United States Department of Energy">DOE</a> and Congress.<sup id="cite_ref-3" class="reference"><a href="#cite_note-3"><span class="cite-bracket">&#91;</span>3<span class="cite-bracket">&#93;</span></a></sup> The CRADA consisted of a consortium of private companies and the Labs, manifested as an entity called the Extreme Ultraviolet Limited Liability Company (EUV LLC).<sup id="cite_ref-4" class="reference"><a href="#cite_note-4"><span class="cite-bracket">&#91;</span>4<span class="cite-bracket">&#93;</span></a></sup> </p><p><a href="/wiki/Intel" title="Intel">Intel</a>, Canon, and Nikon (leaders in the field at the time), as well as the <a href="/wiki/Netherlands" title="Netherlands">Dutch</a> company <a href="/wiki/ASML_Holding" title="ASML Holding">ASML</a> and Silicon Valley Group (SVG) all sought licensing. Congress denied<sup class="noprint Inline-Template Template-Fact" style="white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:Citation_needed" title="Wikipedia:Citation needed"><span title="This claim needs references to reliable sources. (June 2024)">citation needed</span></a></i>&#93;</sup> the Japanese companies the necessary permission, as they were perceived as strong technical competitors at the time and should not benefit from taxpayer-funded research at the expense of American companies.<sup id="cite_ref-5" class="reference"><a href="#cite_note-5"><span class="cite-bracket">&#91;</span>5<span class="cite-bracket">&#93;</span></a></sup> In 2001 SVG was acquired by ASML, leaving ASML as the sole benefactor of the critical technology.<sup id="cite_ref-6" class="reference"><a href="#cite_note-6"><span class="cite-bracket">&#91;</span>6<span class="cite-bracket">&#93;</span></a></sup> </p><p>By 2018, ASML succeeded in deploying the intellectual property from the EUV-LLC after several decades of developmental research, with incorporation of European-funded EUCLIDES (Extreme UV Concept Lithography Development System) and long-standing partner German optics manufacturer <a href="/wiki/Carl_Zeiss_AG" title="Carl Zeiss AG">ZEISS</a> and synchrotron light source supplier Oxford Instruments. This led <a href="/wiki/MIT_Technology_Review" title="MIT Technology Review">MIT Technology Review</a> to name it "the machine that saved Moore's law".<sup id="cite_ref-7" class="reference"><a href="#cite_note-7"><span class="cite-bracket">&#91;</span>7<span class="cite-bracket">&#93;</span></a></sup> The first prototype in 2006 produced one <a href="/wiki/Wafer_(electronics)" title="Wafer (electronics)">wafer</a> in 23&#160;hours. As of 2022, a scanner produces up to 200 wafers per hour. The scanner uses Zeiss optics, which that company calls "the most precise mirrors in the world", produced by locating imperfections and then knocking off individual molecules with techniques such as ion beam figuring.<sup id="cite_ref-8" class="reference"><a href="#cite_note-8"><span class="cite-bracket">&#91;</span>8<span class="cite-bracket">&#93;</span></a></sup> </p><p>This made the once small company ASML the world leader in the production of scanners and monopolist in this cutting-edge technology and resulted in a record turnover of 18.6 billion euros in 2021, dwarfing their competitors Canon and Nikon, who were denied IP access. Because it is such a key technology for development in many fields, the <a href="/wiki/United_States" title="United States">United States</a> licenser pressured Dutch authorities to not sell these machines to <a href="/wiki/China" title="China">China</a>. ASML has followed the guidelines of Dutch export controls and until further notice will have no authority to ship the machines to China.<sup id="cite_ref-9" class="reference"><a href="#cite_note-9"><span class="cite-bracket">&#91;</span>9<span class="cite-bracket">&#93;</span></a></sup> </p><p>Along with <a href="/wiki/Multiple_patterning" title="Multiple patterning">multiple patterning</a>, EUV has paved the way for higher transistor densities, allowing the production of higher-performance processors. Smaller transistors also require less power to operate, resulting in more energy-efficient electronics. </p> <div class="mw-heading mw-heading3"><h3 id="Market_growth_projection">Market growth projection</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=2" title="Edit section: Market growth projection"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>According to a report by Pragma Market Research,<sup id="cite_ref-10" class="reference"><a href="#cite_note-10"><span class="cite-bracket">&#91;</span>10<span class="cite-bracket">&#93;</span></a></sup> the global extreme ultraviolet (EUV) lithography market is projected to grow from US$8,957.8&#160;million in 2024 to US$17,350&#160;million by 2030, at a <a href="/wiki/Compound_annual_growth_rate" title="Compound annual growth rate">compound annual growth rate</a> (CAGR) of 11.7%. This significant growth reflects the rising demand for miniaturized electronics in various sectors, including <a href="/wiki/Smartphone" title="Smartphone">smartphones</a>, <a href="/wiki/Artificial_intelligence" title="Artificial intelligence">artificial intelligence</a>, and <a href="/wiki/High-performance_computing" title="High-performance computing">high-performance computing</a>. </p> <div class="mw-heading mw-heading2"><h2 id="Fab_tool_output">Fab tool output</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=3" title="Edit section: Fab tool output"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>Requirements for EUV steppers, given the number of layers in the design that require EUV, the number of machines, and the desired throughput of the fab, assuming 24 hours per day operation.<sup id="cite_ref-11" class="reference"><a href="#cite_note-11"><span class="cite-bracket">&#91;</span>11<span class="cite-bracket">&#93;</span></a></sup> </p> <table class="wikitable" style="text-align:center"> <tbody><tr> <th>Number of layers<br /> requiring EUV </th> <th>Avg. stepper speed<br /> in wafers per hour </th> <th>Number of<br /> EUV machines </th> <th>Wafer<br /> per month </th></tr> <tr> <td><span style="visibility:hidden;color:transparent;">0</span>5 </td> <td>62.5 </td> <td><span style="visibility:hidden;color:transparent;">0</span>5 </td> <td>45000 </td></tr> <tr> <td>10 </td> <td>62.5 </td> <td>10 </td> <td>45000 </td></tr> <tr> <td>15 </td> <td>62.5 </td> <td>15 </td> <td>45000 </td></tr> <tr> <td>15 </td> <td>62.5 </td> <td>30 </td> <td>90000 </td></tr> <tr> <td>20 </td> <td>62.5 </td> <td>40 </td> <td>90000 </td></tr> <tr> <td>25 </td> <td>62.5 </td> <td>50 </td> <td>90000 </td></tr></tbody></table> <div class="mw-heading mw-heading2"><h2 id="Masks">Masks</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=4" title="Edit section: Masks"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>EUV <a href="/wiki/Photomask" title="Photomask">photomasks</a> work by reflecting light,<sup id="cite_ref-12" class="reference"><a href="#cite_note-12"><span class="cite-bracket">&#91;</span>12<span class="cite-bracket">&#93;</span></a></sup> which is achieved by using multiple alternating layers of <a href="/wiki/Molybdenum" title="Molybdenum">molybdenum</a> and <a href="/wiki/Silicon" title="Silicon">silicon</a>. This is in contrast to conventional photomasks which work by blocking light using a single chromium layer on a quartz substrate. An EUV mask consists of 40–50<sup id="cite_ref-auto4_13-0" class="reference"><a href="#cite_note-auto4-13"><span class="cite-bracket">&#91;</span>13<span class="cite-bracket">&#93;</span></a></sup> alternating silicon and molybdenum layers;<sup id="cite_ref-14" class="reference"><a href="#cite_note-14"><span class="cite-bracket">&#91;</span>14<span class="cite-bracket">&#93;</span></a></sup> this is a multilayer which acts to reflect the extreme ultraviolet light through <a href="/wiki/Bragg_diffraction" class="mw-redirect" title="Bragg diffraction">Bragg diffraction</a>; the reflectance is a strong function of incident angle and wavelength, with longer wavelengths reflecting more near normal incidence and shorter wavelengths reflecting more away from normal incidence. The multilayer may be protected by a thin <a href="/wiki/Ruthenium" title="Ruthenium">ruthenium</a> layer, called a capping layer.<sup id="cite_ref-auto4_13-1" class="reference"><a href="#cite_note-auto4-13"><span class="cite-bracket">&#91;</span>13<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-15" class="reference"><a href="#cite_note-15"><span class="cite-bracket">&#91;</span>15<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-16" class="reference"><a href="#cite_note-16"><span class="cite-bracket">&#91;</span>16<span class="cite-bracket">&#93;</span></a></sup> The pattern is defined in a <a href="/wiki/Tantalum" title="Tantalum">tantalum</a>-based absorbing layer over the capping layer.<sup id="cite_ref-17" class="reference"><a href="#cite_note-17"><span class="cite-bracket">&#91;</span>17<span class="cite-bracket">&#93;</span></a></sup> </p><p>Blank photomasks are mainly made by two companies: <a href="/wiki/AGC_Inc." title="AGC Inc.">AGC Inc.</a> and <a href="/wiki/Hoya_Corporation" title="Hoya Corporation">Hoya Corporation</a>.<sup id="cite_ref-18" class="reference"><a href="#cite_note-18"><span class="cite-bracket">&#91;</span>18<span class="cite-bracket">&#93;</span></a></sup> Ion-beam deposition equipment mainly made by <a href="/wiki/Veeco" title="Veeco">Veeco</a> is often used to deposit the multilayer.<sup id="cite_ref-auto4_13-2" class="reference"><a href="#cite_note-auto4-13"><span class="cite-bracket">&#91;</span>13<span class="cite-bracket">&#93;</span></a></sup> A blank photomask is covered with <a href="/wiki/Photoresist" title="Photoresist">photoresist</a>, which is then baked (solidified) in an oven, and later the pattern is defined on the photoresist using <a href="/wiki/Maskless_lithography" title="Maskless lithography">maskless lithography</a> with an electron beam. This step is called exposure.<sup id="cite_ref-19" class="reference"><a href="#cite_note-19"><span class="cite-bracket">&#91;</span>19<span class="cite-bracket">&#93;</span></a></sup> The exposed photoresist is developed (removed), and the unprotected areas are etched. The remaining photoresist is then removed. Masks are then inspected and later repaired using an <a href="/wiki/Electron_beam" class="mw-redirect" title="Electron beam">electron beam</a>.<sup id="cite_ref-20" class="reference"><a href="#cite_note-20"><span class="cite-bracket">&#91;</span>20<span class="cite-bracket">&#93;</span></a></sup> Etching must be done only in the absorbing layer<sup id="cite_ref-auto4_13-3" class="reference"><a href="#cite_note-auto4-13"><span class="cite-bracket">&#91;</span>13<span class="cite-bracket">&#93;</span></a></sup> and thus there is a need to distinguish between the capping and the absorbing layer, which is known as etch selectivity<sup id="cite_ref-21" class="reference"><a href="#cite_note-21"><span class="cite-bracket">&#91;</span>21<span class="cite-bracket">&#93;</span></a></sup> and is unlike etching in conventional photomasks, which only have one layer critical to their function.<sup id="cite_ref-22" class="reference"><a href="#cite_note-22"><span class="cite-bracket">&#91;</span>22<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="Tool">Tool</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=5" title="Edit section: Tool"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:Extreme_ultraviolet_lithography_tool.jpg" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/3/36/Extreme_ultraviolet_lithography_tool.jpg/300px-Extreme_ultraviolet_lithography_tool.jpg" decoding="async" width="300" height="255" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/3/36/Extreme_ultraviolet_lithography_tool.jpg/450px-Extreme_ultraviolet_lithography_tool.jpg 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/3/36/Extreme_ultraviolet_lithography_tool.jpg/600px-Extreme_ultraviolet_lithography_tool.jpg 2x" data-file-width="713" data-file-height="605" /></a><figcaption>An EUVL tool, <a href="/wiki/Lawrence_Livermore_National_Laboratory" title="Lawrence Livermore National Laboratory">Lawrence Livermore National Laboratory</a></figcaption></figure> <p>An EUV tool (EUV photolithography machine) has a laser-driven tin (Sn) plasma light source, reflective optics comprising multilayer mirrors, contained within a <a href="/wiki/Hydrogen" title="Hydrogen">hydrogen</a> gas ambient.<sup id="cite_ref-23" class="reference"><a href="#cite_note-23"><span class="cite-bracket">&#91;</span>23<span class="cite-bracket">&#93;</span></a></sup> The hydrogen is used to keep the EUV collector mirror, as the first mirror collecting EUV emitted over a large range in angle (~2π&#160;<a href="/wiki/Solid_angle" title="Solid angle">sr</a>) from the Sn plasma, in the source free of Sn deposition.<sup id="cite_ref-24" class="reference"><a href="#cite_note-24"><span class="cite-bracket">&#91;</span>24<span class="cite-bracket">&#93;</span></a></sup> Specifically, the hydrogen buffer gas in the EUV source chamber or vessel decelerates or possibly pushes back Sn ions and Sn debris traveling toward the EUV collector (collector protection) and enable a chemical reaction of <span class="mwe-math-element"><span class="mwe-math-mathml-inline mwe-math-mathml-a11y" style="display: none;"><math xmlns="http://www.w3.org/1998/Math/MathML" alttext="{\displaystyle {\ce {Sn(s) + 4H(g) -&gt; SnH4(g)}}}"> <semantics> <mrow class="MJX-TeXAtom-ORD"> <mstyle displaystyle="true" scriptlevel="0"> <mrow class="MJX-TeXAtom-ORD"> <mtext>Sn</mtext> <mrow class="MJX-TeXAtom-ORD"> <mo stretchy="false">(</mo> <mtext>s</mtext> <mo stretchy="false">)</mo> </mrow> <mo>+</mo> <mn>4</mn> <mspace width="thinmathspace" /> <mtext>H</mtext> <mrow class="MJX-TeXAtom-ORD"> <mo stretchy="false">(</mo> <mtext>g</mtext> <mo stretchy="false">)</mo> </mrow> <mo stretchy="false">&#x27F6;<!-- ⟶ --></mo> <msubsup> <mtext>SnH</mtext> <mrow class="MJX-TeXAtom-ORD"> <mn>4</mn> </mrow> <mrow class="MJX-TeXAtom-ORD"> <mspace width="0pt" height="0pt" depth=".2em" /> </mrow> </msubsup> <mrow class="MJX-TeXAtom-ORD"> <mo stretchy="false">(</mo> <mtext>g</mtext> <mo stretchy="false">)</mo> </mrow> </mrow> </mstyle> </mrow> <annotation encoding="application/x-tex">{\displaystyle {\ce {Sn(s) + 4H(g) -&gt; SnH4(g)}}}</annotation> </semantics> </math></span><img src="https://wikimedia.org/api/rest_v1/media/math/render/svg/68a98dade0bf63714d698c4ec09804f2aa77c82b" class="mwe-math-fallback-image-inline mw-invert skin-invert" aria-hidden="true" style="vertical-align: -1.005ex; width:27.865ex; height:3.009ex;" alt="{\displaystyle {\ce {Sn(s) + 4H(g) -&gt; SnH4(g)}}}"></span> to remove Sn deposition on the collector in the form of <span class="mwe-math-element"><span class="mwe-math-mathml-inline mwe-math-mathml-a11y" style="display: none;"><math xmlns="http://www.w3.org/1998/Math/MathML" alttext="{\displaystyle {\ce {SnH4}}}"> <semantics> <mrow class="MJX-TeXAtom-ORD"> <mstyle displaystyle="true" scriptlevel="0"> <mrow class="MJX-TeXAtom-ORD"> <msubsup> <mtext>SnH</mtext> <mrow class="MJX-TeXAtom-ORD"> <mn>4</mn> </mrow> <mrow class="MJX-TeXAtom-ORD"> <mspace width="0pt" height="0pt" depth=".2em" /> </mrow> </msubsup> </mrow> </mstyle> </mrow> <annotation encoding="application/x-tex">{\displaystyle {\ce {SnH4}}}</annotation> </semantics> </math></span><img src="https://wikimedia.org/api/rest_v1/media/math/render/svg/7e2690edd5084f0e6a79cc2713f8a7491d7b1a6a" class="mwe-math-fallback-image-inline mw-invert skin-invert" aria-hidden="true" style="vertical-align: -1.005ex; width:5.382ex; height:2.843ex;" alt="{\displaystyle {\ce {SnH4}}}"></span> gas (collector reflectivity restoration). </p><p>EUVL is a significant departure from the deep-ultraviolet lithography standard. All matter absorbs <a href="/wiki/Extreme_ultraviolet" title="Extreme ultraviolet">EUV</a> radiation. Hence, EUV lithography requires vacuum. All optical elements, including the <a href="/wiki/Photomask" title="Photomask">photomask</a>, must use defect-free <a href="/wiki/Molybdenum" title="Molybdenum">molybdenum</a>/<a href="/wiki/Silicon" title="Silicon">silicon</a> (Mo/Si) multilayers (consisting of 50 Mo/Si bilayers, which theoretical reflectivity limit at 13.5&#160;nm is ~75%<sup id="cite_ref-25" class="reference"><a href="#cite_note-25"><span class="cite-bracket">&#91;</span>25<span class="cite-bracket">&#93;</span></a></sup>) that act to reflect light by means of interlayer wave interference; any one of these mirrors absorb around 30% of the incident light, so the mirror temperature control is important. </p><p>Current EUVL systems contain at least two <a href="/wiki/Condenser_(optics)" title="Condenser (optics)">condenser</a> multilayer mirrors, six projection multilayer mirrors and a multilayer object (mask). Since the mirrors absorb 96% of the EUV light, the ideal EUV source needs to be much brighter than its predecessors. EUV source development has focused on <a href="/wiki/Plasma_(physics)" title="Plasma (physics)">plasmas</a> generated by laser or discharge pulses. The mirror responsible for collecting the light is directly exposed to the plasma and is vulnerable to damage from high-energy <a href="/wiki/Ions" class="mw-redirect" title="Ions">ions</a><sup id="cite_ref-26" class="reference"><a href="#cite_note-26"><span class="cite-bracket">&#91;</span>26<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-27" class="reference"><a href="#cite_note-27"><span class="cite-bracket">&#91;</span>27<span class="cite-bracket">&#93;</span></a></sup> and other debris<sup id="cite_ref-28" class="reference"><a href="#cite_note-28"><span class="cite-bracket">&#91;</span>28<span class="cite-bracket">&#93;</span></a></sup> such as tin droplets, which require the costly collector mirror to be replaced every year.<sup id="cite_ref-29" class="reference"><a href="#cite_note-29"><span class="cite-bracket">&#91;</span>29<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Resource_requirements">Resource requirements</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=6" title="Edit section: Resource requirements"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <table class="wikitable"> <tbody><tr> <th>Utility </th> <th>200 W output EUV </th> <th>90 W output ArF<br />immersion double-patterning </th></tr> <tr> <td>Electrical power (kW) </td> <td>532 </td> <td>49 </td></tr> <tr> <td>Cooling water flow (L/min) </td> <td>1600 </td> <td>75 </td></tr> <tr> <td>Gas lines </td> <td>6 </td> <td>3 </td></tr></tbody></table> <p>The required utility resources are significantly larger for EUV compared to <a href="/wiki/Immersion_lithography" title="Immersion lithography">193&#160;nm immersion</a>, even with two exposures using the latter. At the 2009 EUV Symposium, <a href="/wiki/Hynix" class="mw-redirect" title="Hynix">Hynix</a> reported that the wall plug efficiency was ~0.02% for EUV, i.e., to get 200&#160;watts at intermediate focus for 100 wafers per hour, one would require 1&#160;megawatt of input power, compared to 165&#160;kilowatts for an ArF immersion scanner, and that even at the same throughput, the footprint of the EUV scanner was ~3× the footprint of an ArF immersion scanner, resulting in productivity loss.<sup id="cite_ref-30" class="reference"><a href="#cite_note-30"><span class="cite-bracket">&#91;</span>30<span class="cite-bracket">&#93;</span></a></sup> Additionally, to confine ion debris, a superconducting magnet may be required.<sup id="cite_ref-31" class="reference"><a href="#cite_note-31"><span class="cite-bracket">&#91;</span>31<span class="cite-bracket">&#93;</span></a></sup> </p><p>A typical EUV tool weighs nearly 200 tons<sup id="cite_ref-32" class="reference"><a href="#cite_note-32"><span class="cite-bracket">&#91;</span>32<span class="cite-bracket">&#93;</span></a></sup> and costs around 180 million USD.<sup id="cite_ref-auto5_33-0" class="reference"><a href="#cite_note-auto5-33"><span class="cite-bracket">&#91;</span>33<span class="cite-bracket">&#93;</span></a></sup> </p><p>EUV tools consume at least 10× more energy than immersion tools.<sup id="cite_ref-34" class="reference"><a href="#cite_note-34"><span class="cite-bracket">&#91;</span>34<span class="cite-bracket">&#93;</span></a></sup> </p> <table class="wikitable"> <caption>DUV vs. EUV tool energy consumption (measured 2020) </caption> <tbody><tr> <th colspan="2" class="diagonal-split-header unsortable" style="line-height:1.2;padding:0.1em 0.4em;"><style data-mw-deduplicate="TemplateStyles:r1141225670">.mw-parser-output .diagonal-split-header{background-image:url("https://upload.wikimedia.org/wikipedia/commons/4/43/Diagonal_line.svg");background-repeat:no-repeat;background-size:100%100%;background-position:center}</style><div style="margin-left:2em;text-align:right">Platform</div><div style="margin-right:2em;text-align:left">Parameter</div> </th> <th>DUV immersion<br /> NXT:2050i </th> <th>EUV NXE:3400C<br /> (30 mJ/cm<sup>2</sup>) </th></tr> <tr> <td colspan="2">Energy consumption </td> <td>0.13 MW </td> <td>1.31 MW </td></tr> <tr> <td colspan="2">Energy efficiency per wafer pass </td> <td>0.45 kWh </td> <td>9.64 kWh </td></tr> <tr> <td rowspan="2">Throughput,<br /> wafers </td> <td>per hour </td> <td>296 </td> <td>136 </td></tr> <tr> <td>per year </td> <td>2,584,200 </td> <td>1,191,360 </td></tr></tbody></table> <div class="mw-heading mw-heading3"><h3 id="Summary_of_key_features">Summary of key features</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=7" title="Edit section: Summary of key features"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>The following table summarizes key differences between EUV systems in development and <a href="/wiki/Immersion_lithography" title="Immersion lithography">ArF immersion</a> systems which are widely used in production today: </p> <table class="wikitable"> <tbody><tr> <th> </th> <th>EUV </th> <th>ArF immersion </th></tr> <tr> <td>Wavelength </td> <td>2% FWHM bandwidth about 13.5&#160;nm </td> <td>193&#160;nm </td></tr> <tr> <td>Photon energy </td> <td>91–93 eV </td> <td>6.4 eV </td></tr> <tr> <td>Light source </td> <td>Sn plasma produced by CO<sub>2</sub> laser hitting Sn droplet<sup id="cite_ref-35" class="reference"><a href="#cite_note-35"><span class="cite-bracket">&#91;</span>35<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-36" class="reference"><a href="#cite_note-36"><span class="cite-bracket">&#91;</span>36<span class="cite-bracket">&#93;</span></a></sup> </td> <td>ArF excimer laser </td></tr> <tr> <td>Wavelength bandwidth </td> <td>5.9%<sup id="cite_ref-37" class="reference"><a href="#cite_note-37"><span class="cite-bracket">&#91;</span>37<span class="cite-bracket">&#93;</span></a></sup> </td> <td>&lt;0.16%<sup id="cite_ref-38" class="reference"><a href="#cite_note-38"><span class="cite-bracket">&#91;</span>38<span class="cite-bracket">&#93;</span></a></sup> </td></tr> <tr> <td>Secondary electrons produced by absorption </td> <td>Yes </td> <td>No </td></tr> <tr> <td>Optics </td> <td>Reflective multilayers (~40% absorbing per mirror) </td> <td>Transmissive lenses </td></tr> <tr> <td>Numerical aperture (NA) </td> <td>0.25: NXE:3100<br />0.33: NXE:33x0 and NXE:3400B<br />High NA (0.55): Installed in Intel in 2024 </td> <td>1.20,<br />1.35 </td></tr> <tr> <td>Resolution spec <span class="nowrap"><i>k</i><sub>1</sub> = resolution / (wavelength / numerical aperture)</span> </td> <td>NXE:3100:<span style="visibility:hidden;color:transparent;">B</span> 27&#160;nm (<i>k</i><sub>1</sub> = 0.50)<br />NXE:3300B: 22&#160;nm (<i>k</i><sub>1</sub> = 0.54),<br /><span style="visibility:hidden;color:transparent;">NXEI3100BJ</span> 18&#160;nm (<i>k</i><sub>1</sub> = 0.44) with off-axis illumination<br />NXE:3350B: 16&#160;nm (<i>k</i><sub>1</sub> = 0.39)<br />NXE:3400B/C, NXE:3600D: 13&#160;nm (<i>k</i><sub>1</sub> = 0.32) </td> <td>38&#160;nm (<i>k</i><sub>1</sub> = 0.27) </td></tr> <tr> <td>Flare </td> <td>4%<sup id="cite_ref-39" class="reference"><a href="#cite_note-39"><span class="cite-bracket">&#91;</span>39<span class="cite-bracket">&#93;</span></a></sup> </td> <td>&lt;1%<sup id="cite_ref-40" class="reference"><a href="#cite_note-40"><span class="cite-bracket">&#91;</span>40<span class="cite-bracket">&#93;</span></a></sup> </td></tr> <tr> <td>Illumination </td> <td>Central angle 6° off-axis onto reticle </td> <td>On axis </td></tr> <tr> <td>Field size </td> <td>0.25 and 0.33 <a href="/wiki/Numerical_aperture" title="Numerical aperture">NA</a>: 26&#160;mm × 33&#160;mm<br /> High NA: 26&#160;mm × 16.5&#160;mm<sup id="cite_ref-41" class="reference"><a href="#cite_note-41"><span class="cite-bracket">&#91;</span>41<span class="cite-bracket">&#93;</span></a></sup> </td> <td>26&#160;mm × 33&#160;mm </td></tr> <tr> <td>Magnification </td> <td>0.25 and 0.33 NA: 4× isomorphic <br /> High NA: 4×/8× anamorphic </td> <td>4× </td></tr> <tr> <td>Ambient </td> <td>Vacuum, hydrogen </td> <td>Air (exposed wafer area underwater) </td></tr> <tr> <td>Aberration control (including thermal) </td> <td>None </td> <td>Yes, e.g., FlexWave<sup id="cite_ref-42" class="reference"><a href="#cite_note-42"><span class="cite-bracket">&#91;</span>42<span class="cite-bracket">&#93;</span></a></sup> </td></tr> <tr> <td>Illumination slit </td> <td>Arc-shaped<sup id="cite_ref-arc186_43-0" class="reference"><a href="#cite_note-arc186-43"><span class="cite-bracket">&#91;</span>43<span class="cite-bracket">&#93;</span></a></sup> </td> <td>Rectangular<sup id="cite_ref-44" class="reference"><a href="#cite_note-44"><span class="cite-bracket">&#91;</span>44<span class="cite-bracket">&#93;</span></a></sup> </td></tr> <tr> <td>Reticle </td> <td>Pattern on reflective multilayer </td> <td>Pattern on transmissive substrate </td></tr> <tr> <td>Wafer pattern shift with reticle vertical position </td> <td>Yes (due to reflection); ~1:40<sup id="cite_ref-45" class="reference"><a href="#cite_note-45"><span class="cite-bracket">&#91;</span>45<span class="cite-bracket">&#93;</span></a></sup> </td> <td>No </td></tr> <tr> <td>Pellicle </td> <td>Available, but has issues </td> <td>Yes </td></tr> <tr> <td>Wafers per day (depends on tool and dose) </td> <td>1500 </td> <td>6000 </td></tr> <tr> <td>Number of tools in field </td> <td>&gt;90 (all 0.33 NA tool models) </td> <td>&gt;400 </td></tr></tbody></table> <p>The different degrees of resolution among the 0.33 NA tools are due to the different illumination options. Despite the potential of the optics to reach sub-20&#160;nm resolution, secondary electrons in resist practically limit the resolution to around 20&#160;nm (more on this below).<sup id="cite_ref-46" class="reference"><a href="#cite_note-46"><span class="cite-bracket">&#91;</span>46<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="Light_source_power,_throughput,_and_uptime"><span id="Light_source_power.2C_throughput.2C_and_uptime"></span>Light source power, throughput, and uptime</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=8" title="Edit section: Light source power, throughput, and uptime"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure typeof="mw:File/Thumb"><a href="/wiki/File:NXE3400_TPT_vs_dose.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/6/6c/NXE3400_TPT_vs_dose.png/300px-NXE3400_TPT_vs_dose.png" decoding="async" width="300" height="181" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/6/6c/NXE3400_TPT_vs_dose.png/450px-NXE3400_TPT_vs_dose.png 1.5x, //upload.wikimedia.org/wikipedia/commons/6/6c/NXE3400_TPT_vs_dose.png 2x" data-file-width="480" data-file-height="289" /></a><figcaption>EUV throughput as a function of dose. The <a href="/wiki/Wafer_(electronics)" title="Wafer (electronics)">wafer</a> throughput of an EUV tool is actually a function of exposure dose, for a fixed source power.</figcaption></figure> <p>Neutral atoms or condensed matter cannot emit EUV radiation. <a href="/wiki/Ionization" title="Ionization">Ionization</a> must precede EUV emission in matter. The thermal production of multicharged positive ions is only possible in a hot dense <a href="/wiki/Plasma_(physics)" title="Plasma (physics)">plasma</a>, which itself strongly absorbs EUV.<sup id="cite_ref-47" class="reference"><a href="#cite_note-47"><span class="cite-bracket">&#91;</span>47<span class="cite-bracket">&#93;</span></a></sup> As of 2016, the established EUV light source is a laser-pulsed tin plasma.<sup id="cite_ref-48" class="reference"><a href="#cite_note-48"><span class="cite-bracket">&#91;</span>48<span class="cite-bracket">&#93;</span></a></sup> The ions absorb the EUV light they emit and are easily neutralized by electrons in the plasma to lower charge states, which produce light mainly at other, unusable wavelengths, resulting in a much reduced efficiency of light generation for lithography at higher plasma power density. </p><p>The throughput is tied to the source power, divided by the dose.<sup id="cite_ref-49" class="reference"><a href="#cite_note-49"><span class="cite-bracket">&#91;</span>49<span class="cite-bracket">&#93;</span></a></sup> A higher dose requires a slower stage motion (lower throughput) if pulse power cannot be increased. </p><p>EUV collector reflectivity degrades ~0.1–0.3% per billion 50&#160;kHz pulses (~10% in ~2 weeks), leading to loss of uptime and throughput, while even for the first few billion pulses (within one day), there is still 20% (±10%) fluctuation.<sup id="cite_ref-50" class="reference"><a href="#cite_note-50"><span class="cite-bracket">&#91;</span>50<span class="cite-bracket">&#93;</span></a></sup> This could be due to the accumulating Sn residue mentioned above which is not completely cleaned off.<sup id="cite_ref-snh4_51-0" class="reference"><a href="#cite_note-snh4-51"><span class="cite-bracket">&#91;</span>51<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-sn_contam_52-0" class="reference"><a href="#cite_note-sn_contam-52"><span class="cite-bracket">&#91;</span>52<span class="cite-bracket">&#93;</span></a></sup> On the other hand, conventional immersion lithography tools for double-patterning provide consistent output for up to a year.<sup id="cite_ref-53" class="reference"><a href="#cite_note-53"><span class="cite-bracket">&#91;</span>53<span class="cite-bracket">&#93;</span></a></sup> </p><p>Recently, the NXE:3400B illuminator features a smaller pupil fill ratio (PFR) down to 20% without transmission loss.<sup id="cite_ref-auto1_54-0" class="reference"><a href="#cite_note-auto1-54"><span class="cite-bracket">&#91;</span>54<span class="cite-bracket">&#93;</span></a></sup> PFR is maximized and greater than 0.2 around a metal pitch of 45&#160;nm.<sup id="cite_ref-7nmt2t_55-0" class="reference"><a href="#cite_note-7nmt2t-55"><span class="cite-bracket">&#91;</span>55<span class="cite-bracket">&#93;</span></a></sup> </p><p>Due to the use of EUV mirrors which also absorb EUV light, only a small fraction of the source light is finally available at the wafer. There are 4 mirrors used for the illumination optics and 6 mirrors for the projection optics. The EUV mask or reticle is itself an additional mirror. With 11 reflections, only ~2% of the EUV source light is available at the wafer.<sup id="cite_ref-mizoguchi_56-0" class="reference"><a href="#cite_note-mizoguchi-56"><span class="cite-bracket">&#91;</span>56<span class="cite-bracket">&#93;</span></a></sup> </p><p>The throughput is determined by the EUV resist dose, which in turn depends on the required resolution.<sup id="cite_ref-57" class="reference"><a href="#cite_note-57"><span class="cite-bracket">&#91;</span>57<span class="cite-bracket">&#93;</span></a></sup> A dose of 40&#160;mJ/cm<sup>2</sup> is expected to be maintained for adequate throughput.<sup id="cite_ref-58" class="reference"><a href="#cite_note-58"><span class="cite-bracket">&#91;</span>58<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Tool_uptime">Tool uptime</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=9" title="Edit section: Tool uptime"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>The EUV light source limits tool uptime besides throughput. In a two-week period, for example, over seven hours downtime may be scheduled, while total actual downtime including unscheduled issues could easily exceed a day.<sup id="cite_ref-mizoguchi_56-1" class="reference"><a href="#cite_note-mizoguchi-56"><span class="cite-bracket">&#91;</span>56<span class="cite-bracket">&#93;</span></a></sup> A dose error over 2% warrants tool downtime.<sup id="cite_ref-mizoguchi_56-2" class="reference"><a href="#cite_note-mizoguchi-56"><span class="cite-bracket">&#91;</span>56<span class="cite-bracket">&#93;</span></a></sup> </p><p>The wafer exposure throughput steadily expanded up to around 1000 wafers per day (per system) over the 2019–2022 period,<sup id="cite_ref-59" class="reference"><a href="#cite_note-59"><span class="cite-bracket">&#91;</span>59<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-60" class="reference"><a href="#cite_note-60"><span class="cite-bracket">&#91;</span>60<span class="cite-bracket">&#93;</span></a></sup> indicating substantial idle time, while at the same time running &gt;120 wafers per day on a number of multipatterned EUV layers, for an EUV wafer on average. </p> <div class="mw-heading mw-heading3"><h3 id="Comparison_to_other_lithography_light_sources">Comparison to other lithography light sources</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=10" title="Edit section: Comparison to other lithography light sources"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p><a href="/wiki/Extreme_ultraviolet" title="Extreme ultraviolet">EUV</a> (10–121&#160;nm) is the band longer than <a href="/wiki/X-ray" title="X-ray">X-rays</a> (0.1–10&#160;nm) and shorter than the hydrogen <a href="/wiki/Lyman-alpha_line" class="mw-redirect" title="Lyman-alpha line">Lyman-alpha line</a>. </p><p>While state-of-the-art 193&#160;nm <a href="/wiki/Argon_fluoride_laser" title="Argon fluoride laser">ArF</a> <a href="/wiki/Excimer_laser" title="Excimer laser">excimer lasers</a> offer intensities of 200&#160;W/cm<sup>2</sup>,<sup id="cite_ref-61" class="reference"><a href="#cite_note-61"><span class="cite-bracket">&#91;</span>61<span class="cite-bracket">&#93;</span></a></sup> lasers for producing EUV-generating plasmas need to be much more intense, on the order of 10<sup>11</sup>&#160;W/cm<sup>2</sup>.<sup id="cite_ref-62" class="reference"><a href="#cite_note-62"><span class="cite-bracket">&#91;</span>62<span class="cite-bracket">&#93;</span></a></sup> A state-of-the-art ArF immersion lithography 120&#160;W light source requires no more than 40&#160;kW electrical power,<sup id="cite_ref-63" class="reference"><a href="#cite_note-63"><span class="cite-bracket">&#91;</span>63<span class="cite-bracket">&#93;</span></a></sup> while EUV sources are targeted to exceed 40&#160;kW.<sup id="cite_ref-64" class="reference"><a href="#cite_note-64"><span class="cite-bracket">&#91;</span>64<span class="cite-bracket">&#93;</span></a></sup> </p><p>The optical power target for EUV lithography is at least 250&#160;W, while for other conventional lithography sources, it is much less.<sup id="cite_ref-mizoguchi_56-3" class="reference"><a href="#cite_note-mizoguchi-56"><span class="cite-bracket">&#91;</span>56<span class="cite-bracket">&#93;</span></a></sup> For example, immersion lithography light sources target 90&#160;W, dry ArF sources 45&#160;W, and KrF sources 40&#160;W. High-NA EUV sources are expected to require at least 500&#160;W.<sup id="cite_ref-mizoguchi_56-4" class="reference"><a href="#cite_note-mizoguchi-56"><span class="cite-bracket">&#91;</span>56<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="EUV-specific_optical_issues">EUV-specific optical issues</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=11" title="Edit section: EUV-specific optical issues"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <div class="mw-heading mw-heading3"><h3 id="Reflective_optics">Reflective optics</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=12" title="Edit section: Reflective optics"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-default-size mw-halign-left" typeof="mw:File/Thumb"><a href="/wiki/File:EUV_H-V_Best_Focus.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/3/39/EUV_H-V_Best_Focus.png/220px-EUV_H-V_Best_Focus.png" decoding="async" width="220" height="174" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/3/39/EUV_H-V_Best_Focus.png/330px-EUV_H-V_Best_Focus.png 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/3/39/EUV_H-V_Best_Focus.png/440px-EUV_H-V_Best_Focus.png 2x" data-file-width="651" data-file-height="515" /></a><figcaption>EUV H-V difference of focus. Horizontal (H) and vertical (V) mask (reticle) pattern features are focused differently in EUV optical systems. The <a href="/wiki/Numerical_aperture" title="Numerical aperture">numerical aperture</a> (NA) also makes a difference.</figcaption></figure> <p>A fundamental aspect of EUVL tools, resulting from the use of reflective optics, is the <a href="/wiki/Off-axis_illumination" title="Off-axis illumination">off-axis illumination</a> (at an angle of 6°, in different direction at different positions within the illumination slit)<sup id="cite_ref-65" class="reference"><a href="#cite_note-65"><span class="cite-bracket">&#91;</span>65<span class="cite-bracket">&#93;</span></a></sup> on a multilayer mask (reticle). This leads to shadowing effects resulting in asymmetry in the diffraction pattern that degrade pattern fidelity in various ways as described below.<sup id="cite_ref-66" class="reference"><a href="#cite_note-66"><span class="cite-bracket">&#91;</span>66<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-67" class="reference"><a href="#cite_note-67"><span class="cite-bracket">&#91;</span>67<span class="cite-bracket">&#93;</span></a></sup> For example, one side (behind the shadow) would appear brighter than the other (within the shadow).<sup id="cite_ref-euvlmask_68-0" class="reference"><a href="#cite_note-euvlmask-68"><span class="cite-bracket">&#91;</span>68<span class="cite-bracket">&#93;</span></a></sup> </p><p>The behavior of light rays within the plane of reflection (affecting horizontal lines) is different from the behavior of light rays out of the plane of reflection (affecting vertical lines).<sup id="cite_ref-69" class="reference"><a href="#cite_note-69"><span class="cite-bracket">&#91;</span>69<span class="cite-bracket">&#93;</span></a></sup> Most conspicuously, identically sized horizontal and vertical lines on the EUV mask are printed at different sizes on the wafer. </p> <figure class="mw-default-size mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:36_nm_pitch_2-bar_CD_delta_vs_focus.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/2/22/36_nm_pitch_2-bar_CD_delta_vs_focus.png/220px-36_nm_pitch_2-bar_CD_delta_vs_focus.png" decoding="async" width="220" height="132" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/2/22/36_nm_pitch_2-bar_CD_delta_vs_focus.png/330px-36_nm_pitch_2-bar_CD_delta_vs_focus.png 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/2/22/36_nm_pitch_2-bar_CD_delta_vs_focus.png/440px-36_nm_pitch_2-bar_CD_delta_vs_focus.png 2x" data-file-width="481" data-file-height="288" /></a><figcaption>2-bar CD difference vs. focus. The difference between the widths of two adjacent horizontal lines varies as a function of focus.</figcaption></figure> <p>The combination of the off-axis asymmetry and the mask shadowing effect leads to a fundamental inability of two identical features even in close proximity to be in focus simultaneously.<sup id="cite_ref-70" class="reference"><a href="#cite_note-70"><span class="cite-bracket">&#91;</span>70<span class="cite-bracket">&#93;</span></a></sup> One of EUVL's key issues is the asymmetry between the top and bottom line of a pair of horizontal lines (the so-called "two-bar"). Some ways to partly compensate are the use of assist features as well as asymmetric illumination.<sup id="cite_ref-2bar_71-0" class="reference"><a href="#cite_note-2bar-71"><span class="cite-bracket">&#91;</span>71<span class="cite-bracket">&#93;</span></a></sup> </p><p>An extension of the two-bar case to a grating consisting of many horizontal lines shows similar sensitivity to defocus.<sup id="cite_ref-72" class="reference"><a href="#cite_note-72"><span class="cite-bracket">&#91;</span>72<span class="cite-bracket">&#93;</span></a></sup> It is manifest in the critical dimension (CD) difference between the top and bottom edge lines of the set of 11 horizontal lines. </p><p>Polarization by reflection also leads to partial polarization of EUV light, which favors imaging of lines perpendicular to the plane of the reflections.<sup id="cite_ref-73" class="reference"><a href="#cite_note-73"><span class="cite-bracket">&#91;</span>73<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-74" class="reference"><a href="#cite_note-74"><span class="cite-bracket">&#91;</span>74<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading4"><h4 id="Pattern_shift_from_defocus_(non-telecentricity)"><span id="Pattern_shift_from_defocus_.28non-telecentricity.29"></span>Pattern shift from defocus (non-telecentricity)</h4><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=13" title="Edit section: Pattern shift from defocus (non-telecentricity)"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:P28_dipole_leaf_image_fading.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/7/7c/P28_dipole_leaf_image_fading.png/300px-P28_dipole_leaf_image_fading.png" decoding="async" width="300" height="180" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/7/7c/P28_dipole_leaf_image_fading.png/450px-P28_dipole_leaf_image_fading.png 1.5x, //upload.wikimedia.org/wikipedia/commons/7/7c/P28_dipole_leaf_image_fading.png 2x" data-file-width="481" data-file-height="289" /></a><figcaption>Due to different <a href="/wiki/Phase_shift" class="mw-redirect" title="Phase shift">phase shifts</a> from reflection from the EUV mask, different illumination angles result in different shifts. This results in reduced <a href="/wiki/Image_contrast" class="mw-redirect" title="Image contrast">image contrast</a>, also known as fading.</figcaption></figure> <p>The EUV mask absorber, due to partial transmission, generates a phase difference between the 0th and 1st diffraction orders of a line-space pattern, resulting in image shifts (at a given illumination angle) as well as changes in peak intensity (leading to linewidth changes) which are further enhanced due to defocus.<sup id="cite_ref-75" class="reference"><a href="#cite_note-75"><span class="cite-bracket">&#91;</span>75<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-76" class="reference"><a href="#cite_note-76"><span class="cite-bracket">&#91;</span>76<span class="cite-bracket">&#93;</span></a></sup> Ultimately, this results in different positions of best focus for different pitches and different illumination angles. Generally, the image shift is balanced out due to illumination source points being paired (each on opposite sides of the optical axis). However, the separate images are superposed and the resulting image contrast is degraded when the individual source image shifts are large enough. The phase difference ultimately also determines the best focus position. </p><p>The multilayer is also responsible for image shifting due to phase shifts from diffracted light within the multilayer itself.<sup id="cite_ref-77" class="reference"><a href="#cite_note-77"><span class="cite-bracket">&#91;</span>77<span class="cite-bracket">&#93;</span></a></sup> This is inevitable due to light passing twice through the mask pattern.<sup id="cite_ref-78" class="reference"><a href="#cite_note-78"><span class="cite-bracket">&#91;</span>78<span class="cite-bracket">&#93;</span></a></sup> </p><p>The use of reflection causes wafer exposure position to be extremely sensitive to the reticle flatness and the reticle clamp. Reticle clamp cleanliness is therefore required to be maintained. Small (<a href="/wiki/Milliradian" title="Milliradian">milliradian</a>-scale) deviations in mask flatness in the local slope, coupled with wafer defocus.<sup id="cite_ref-79" class="reference"><a href="#cite_note-79"><span class="cite-bracket">&#91;</span>79<span class="cite-bracket">&#93;</span></a></sup> More significantly, mask defocus has been found to result in large overlay errors.<sup id="cite_ref-80" class="reference"><a href="#cite_note-80"><span class="cite-bracket">&#91;</span>80<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-81" class="reference"><a href="#cite_note-81"><span class="cite-bracket">&#91;</span>81<span class="cite-bracket">&#93;</span></a></sup> In particular, for a 10&#160;nm node metal 1 layer (including 48&#160;nm, 64&#160;nm, 70&#160;nm pitches, isolated, and power lines), the uncorrectable pattern placement error was 1&#160;nm for 40&#160;nm mask z-position shift.<sup id="cite_ref-10nmppe_82-0" class="reference"><a href="#cite_note-10nmppe-82"><span class="cite-bracket">&#91;</span>82<span class="cite-bracket">&#93;</span></a></sup> This is a global pattern shift of the layer with respect to previously defined layers. However, features at different locations will also shift differently due to different local deviations from mask flatness, e.g., from defects buried under the multilayer. It can be estimated that the contribution of mask non-flatness to overlay error is roughly 1/40 times the peak-to-valley thickness variation.<sup id="cite_ref-xchen_83-0" class="reference"><a href="#cite_note-xchen-83"><span class="cite-bracket">&#91;</span>83<span class="cite-bracket">&#93;</span></a></sup> With the blank peak-to-valley spec of 50&#160;nm, ~1.25&#160;nm image placement error is possible. Blank thickness variations up to 80&#160;nm also contribute, which lead to up to 2&#160;nm image shift.<sup id="cite_ref-xchen_83-1" class="reference"><a href="#cite_note-xchen-83"><span class="cite-bracket">&#91;</span>83<span class="cite-bracket">&#93;</span></a></sup> </p><p>The off-axis illumination of the reticle is also the cause of non-telecentricity in wafer defocus, which consumes most of the 1.4&#160;nm overlay budget of the NXE:3400 EUV scanner<sup id="cite_ref-84" class="reference"><a href="#cite_note-84"><span class="cite-bracket">&#91;</span>84<span class="cite-bracket">&#93;</span></a></sup> even for design rules as loose as 100&#160;nm pitch.<sup id="cite_ref-auto_85-0" class="reference"><a href="#cite_note-auto-85"><span class="cite-bracket">&#91;</span>85<span class="cite-bracket">&#93;</span></a></sup> The worst uncorrectable pattern placement error for a 24&#160;nm line was about 1.1&#160;nm, relative to an adjacent 72&#160;nm power line, per 80&#160;nm wafer focus position shift at a single slit position; when across-slit performance is included, the worst error is over 1.5&#160;nm in the wafer defocus window<sup id="cite_ref-10nmppe_82-1" class="reference"><a href="#cite_note-10nmppe-82"><span class="cite-bracket">&#91;</span>82<span class="cite-bracket">&#93;</span></a></sup> In 2017, an actinic microscope mimicking a 0.33 NA EUV lithography system with 0.2/0.9 quasar 45 illumination showed that an 80&#160;nm pitch contact array shifted −0.6 to 1.0&#160;nm while a 56&#160;nm pitch contact array shifted −1.7 to 1.0&#160;nm relative to a horizontal reference line, within a ±50&#160;nm defocus window.<sup id="cite_ref-86" class="reference"><a href="#cite_note-86"><span class="cite-bracket">&#91;</span>86<span class="cite-bracket">&#93;</span></a></sup> </p><p>Wafer defocus also leads to image placement errors due to deviations from local mask flatness. If the local slope is indicated by an angle α, the image is projected to be shifted in a 4× projection tool by <span class="nowrap">8α × (DOF/2) = 4α DOF</span>, where DOF is the depth of focus.<sup id="cite_ref-87" class="reference"><a href="#cite_note-87"><span class="cite-bracket">&#91;</span>87<span class="cite-bracket">&#93;</span></a></sup> For a depth of focus of 100&#160;nm, a small local deviation from flatness of 2.5&#160;mrad (0.14°) can lead to a pattern shift of 1&#160;nm. </p><p>Simulations as well as experiments have shown that pupil imbalances in EUV lithography can result in pitch-dependent pattern placement errors.<sup id="cite_ref-88" class="reference"><a href="#cite_note-88"><span class="cite-bracket">&#91;</span>88<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-89" class="reference"><a href="#cite_note-89"><span class="cite-bracket">&#91;</span>89<span class="cite-bracket">&#93;</span></a></sup> Since the pupil imbalance changes with EUV collector mirror aging or contamination, such placement errors may not be stable over time. The situation is specifically challenging for logic devices, where multiple pitches have critical requirements at the same time.<sup id="cite_ref-90" class="reference"><a href="#cite_note-90"><span class="cite-bracket">&#91;</span>90<span class="cite-bracket">&#93;</span></a></sup> The issue is ideally addressed by multiple exposures with tailored illuminations.<sup id="cite_ref-91" class="reference"><a href="#cite_note-91"><span class="cite-bracket">&#91;</span>91<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading4"><h4 id="Slit_position_dependence">Slit position dependence</h4><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=14" title="Edit section: Slit position dependence"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-halign-left" typeof="mw:File/Thumb"><a href="/wiki/File:Rotated_EUV_illumination_through_slit.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/a/a6/Rotated_EUV_illumination_through_slit.png/350px-Rotated_EUV_illumination_through_slit.png" decoding="async" width="350" height="168" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/a/a6/Rotated_EUV_illumination_through_slit.png/525px-Rotated_EUV_illumination_through_slit.png 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/a/a6/Rotated_EUV_illumination_through_slit.png/700px-Rotated_EUV_illumination_through_slit.png 2x" data-file-width="1147" data-file-height="550" /></a><figcaption>Illumination rotation across ring-field slit. Light <a href="/wiki/Specular_reflection" title="Specular reflection">reflected</a> from curved optical surfaces will generate <a href="/wiki/Arc_(geometry)" class="mw-redirect" title="Arc (geometry)">arc</a> segments.<sup id="cite_ref-92" class="reference"><a href="#cite_note-92"><span class="cite-bracket">&#91;</span>92<span class="cite-bracket">&#93;</span></a></sup> The illumination angles are rotated azimuthally across the arc-shaped slit (right), due to the reflection of an arc-shaped image from each pupil position as a point source (left).<sup id="cite_ref-93" class="reference"><a href="#cite_note-93"><span class="cite-bracket">&#91;</span>93<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-94" class="reference"><a href="#cite_note-94"><span class="cite-bracket">&#91;</span>94<span class="cite-bracket">&#93;</span></a></sup> The angle-dependent and wavelength-dependent multilayer reflectance distribution pattern is rotated accordingly.</figcaption></figure> <p>The direction of illumination is also highly dependent on slit position, essentially rotated azimuthally.<sup id="cite_ref-95" class="reference"><a href="#cite_note-95"><span class="cite-bracket">&#91;</span>95<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-96" class="reference"><a href="#cite_note-96"><span class="cite-bracket">&#91;</span>96<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-arc186_43-1" class="reference"><a href="#cite_note-arc186-43"><span class="cite-bracket">&#91;</span>43<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-garetto_97-0" class="reference"><a href="#cite_note-garetto-97"><span class="cite-bracket">&#91;</span>97<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-eom_98-0" class="reference"><a href="#cite_note-eom-98"><span class="cite-bracket">&#91;</span>98<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-99" class="reference"><a href="#cite_note-99"><span class="cite-bracket">&#91;</span>99<span class="cite-bracket">&#93;</span></a></sup> Nanya Technology and Synopsys found that horizontal vs. vertical bias changed across slit with dipole illumination.<sup id="cite_ref-Proc_2020_100-0" class="reference"><a href="#cite_note-Proc_2020-100"><span class="cite-bracket">&#91;</span>100<span class="cite-bracket">&#93;</span></a></sup> The rotating plane of incidence (azimuthal range within −25° to 25°) is confirmed in the SHARP actinic review microscope at CXRO which mimics the optics for EUV projection lithography systems.<sup id="cite_ref-101" class="reference"><a href="#cite_note-101"><span class="cite-bracket">&#91;</span>101<span class="cite-bracket">&#93;</span></a></sup> The reason for this is a mirror is used to transform straight rectangular fields into arc-shaped fields.<sup id="cite_ref-102" class="reference"><a href="#cite_note-102"><span class="cite-bracket">&#91;</span>102<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-103" class="reference"><a href="#cite_note-103"><span class="cite-bracket">&#91;</span>103<span class="cite-bracket">&#93;</span></a></sup> In order to preserve a fixed plane of incidence, the reflection from the previous mirror would be from a different angle with the surface for a different slit position; this causes non-uniformity of reflectivity. To preserve uniformity, rotational symmetry with a rotating plane of incidence is used.<sup id="cite_ref-104" class="reference"><a href="#cite_note-104"><span class="cite-bracket">&#91;</span>104<span class="cite-bracket">&#93;</span></a></sup> More generally, so-called "ring-field" systems reduce aberrations by relying on the rotational symmetry of an arc-shaped field derived from an off-axis annulus.<sup id="cite_ref-105" class="reference"><a href="#cite_note-105"><span class="cite-bracket">&#91;</span>105<span class="cite-bracket">&#93;</span></a></sup> This is preferred, as reflective systems must use off-axis paths, which aggravate aberrations. Hence identical die patterns within different halves of the arc-shaped slit would require different <a href="/wiki/Optical_proximity_correction" title="Optical proximity correction">OPC</a>. This renders them uninspectable by die-to-die comparison, as they are no longer truly identical dies. For pitches requiring dipole, quadrupole, or hexapole illumination, the rotation also causes mismatch with the same pattern layout at a different slit position, i.e., edge vs. center. Even with annular or circular illumination, the rotational symmetry is destroyed by the angle-dependent multilayer reflectance described above. Although the azimuthal angle range is about ±20°<sup id="cite_ref-zeiss_106-0" class="reference"><a href="#cite_note-zeiss-106"><span class="cite-bracket">&#91;</span>106<span class="cite-bracket">&#93;</span></a></sup> (field data indicated over 18°<sup id="cite_ref-107" class="reference"><a href="#cite_note-107"><span class="cite-bracket">&#91;</span>107<span class="cite-bracket">&#93;</span></a></sup>) on 0.33 NA scanners, at 7&#160;nm design rules (36–40&#160;nm pitch), the tolerance for illumination can be ±15°,<sup id="cite_ref-108" class="reference"><a href="#cite_note-108"><span class="cite-bracket">&#91;</span>108<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-109" class="reference"><a href="#cite_note-109"><span class="cite-bracket">&#91;</span>109<span class="cite-bracket">&#93;</span></a></sup> or even less.<sup id="cite_ref-setten9661_110-0" class="reference"><a href="#cite_note-setten9661-110"><span class="cite-bracket">&#91;</span>110<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-111" class="reference"><a href="#cite_note-111"><span class="cite-bracket">&#91;</span>111<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-lfhex_112-0" class="reference"><a href="#cite_note-lfhex-112"><span class="cite-bracket">&#91;</span>112<span class="cite-bracket">&#93;</span></a></sup> Annular illumination nonuniformity and asymmetry also significantly impact the imaging.<sup id="cite_ref-113" class="reference"><a href="#cite_note-113"><span class="cite-bracket">&#91;</span>113<span class="cite-bracket">&#93;</span></a></sup> Newer systems have azimuthal angle ranges going up to ±30°.<sup id="cite_ref-114" class="reference"><a href="#cite_note-114"><span class="cite-bracket">&#91;</span>114<span class="cite-bracket">&#93;</span></a></sup> On 0.33 NA systems, 30 nm pitch and lower already suffer sufficient reduction of pupil fill to significantly affect throughput; on the 0.55 NA systems, 20 nm pitch suffers removal of most of the allowed pupil fill to accommodate 20 nm defocus.<sup id="cite_ref-115" class="reference"><a href="#cite_note-115"><span class="cite-bracket">&#91;</span>115<span class="cite-bracket">&#93;</span></a></sup> </p><p>The larger incident angle for pitch-dependent dipole illumination trend across slit does not affect horizontal line shadowing so much, but vertical line shadowing does increase going from center to edge.<sup id="cite_ref-cross_slit_116-0" class="reference"><a href="#cite_note-cross_slit-116"><span class="cite-bracket">&#91;</span>116<span class="cite-bracket">&#93;</span></a></sup> In addition, higher-NA systems may offer limited relief from shadowing, as they target tighet pitches.<sup id="cite_ref-cross_slit_116-1" class="reference"><a href="#cite_note-cross_slit-116"><span class="cite-bracket">&#91;</span>116<span class="cite-bracket">&#93;</span></a></sup> </p> <figure class="mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:H_and_V_shadowing_across_EUV_slit.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/2/2e/H_and_V_shadowing_across_EUV_slit.png/300px-H_and_V_shadowing_across_EUV_slit.png" decoding="async" width="300" height="140" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/2/2e/H_and_V_shadowing_across_EUV_slit.png/450px-H_and_V_shadowing_across_EUV_slit.png 1.5x, //upload.wikimedia.org/wikipedia/commons/2/2e/H_and_V_shadowing_across_EUV_slit.png 2x" data-file-width="565" data-file-height="263" /></a><figcaption>Horizontal and vertical lines exhibit different shadowing across the slit.</figcaption></figure> <p>The slit position dependence is particularly difficult for the tilted patterns encountered in DRAM.<sup id="cite_ref-eom_98-1" class="reference"><a href="#cite_note-eom-98"><span class="cite-bracket">&#91;</span>98<span class="cite-bracket">&#93;</span></a></sup> Besides the more complicated effects due to shadowing and pupil rotation, tilted edges are converted to stair shape, which may be distorted by OPC. In fact, the 32&#160;nm pitch DRAM by EUV will lengthen up to at least 9<i>F</i><sup>2</sup> cell area, where <i>F</i> is the active area half-pitch (traditionally, it had been 6<i>F</i><sup>2</sup>).<sup id="cite_ref-Proc_2020_100-1" class="reference"><a href="#cite_note-Proc_2020-100"><span class="cite-bracket">&#91;</span>100<span class="cite-bracket">&#93;</span></a></sup> With a 2-D self-aligned double-patterning active area cut, the cell area is still lower at 8.9<i>F</i><sup>2</sup>.<sup id="cite_ref-117" class="reference"><a href="#cite_note-117"><span class="cite-bracket">&#91;</span>117<span class="cite-bracket">&#93;</span></a></sup> </p><p><a href="/wiki/Optical_aberrations" class="mw-redirect" title="Optical aberrations">Aberrations</a>, originating from deviations of optical surfaces from subatomic (&lt;0.1&#160;nm) specifications<sup id="cite_ref-118" class="reference"><a href="#cite_note-118"><span class="cite-bracket">&#91;</span>118<span class="cite-bracket">&#93;</span></a></sup> as well as thermal deformations<sup id="cite_ref-119" class="reference"><a href="#cite_note-119"><span class="cite-bracket">&#91;</span>119<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-adaptive_120-0" class="reference"><a href="#cite_note-adaptive-120"><span class="cite-bracket">&#91;</span>120<span class="cite-bracket">&#93;</span></a></sup> and possibly including polarized reflectance effects,<sup id="cite_ref-121" class="reference"><a href="#cite_note-121"><span class="cite-bracket">&#91;</span>121<span class="cite-bracket">&#93;</span></a></sup> are also dependent on slit position,<sup id="cite_ref-litho2017_122-0" class="reference"><a href="#cite_note-litho2017-122"><span class="cite-bracket">&#91;</span>122<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-adaptive_120-1" class="reference"><a href="#cite_note-adaptive-120"><span class="cite-bracket">&#91;</span>120<span class="cite-bracket">&#93;</span></a></sup> as will be further discussed below, with regard to source-mask optimization (SMO). The thermally induced aberrations are expected to exhibit differences among different positions across the slit, corresponding to different field positions, as each position encounters different parts of the deformed mirrors.<sup id="cite_ref-123" class="reference"><a href="#cite_note-123"><span class="cite-bracket">&#91;</span>123<span class="cite-bracket">&#93;</span></a></sup> Ironically, the use of substrate materials with high thermal and mechanical stability make it more difficult to compensate wavefront errors<sup id="cite_ref-124" class="reference"><a href="#cite_note-124"><span class="cite-bracket">&#91;</span>124<span class="cite-bracket">&#93;</span></a></sup> </p><p>In combination with the range of wavelengths, the rotated plane of incidence aggravates the already severe stochastic impact on EUV imaging.<sup id="cite_ref-125" class="reference"><a href="#cite_note-125"><span class="cite-bracket">&#91;</span>125<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Wavelength_bandwidth_(chromatic_aberration)"><span id="Wavelength_bandwidth_.28chromatic_aberration.29"></span>Wavelength bandwidth (chromatic aberration)</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=15" title="Edit section: Wavelength bandwidth (chromatic aberration)"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-halign-left" typeof="mw:File/Thumb"><a href="/wiki/File:Defocus_pattern_shift_vs_wavelength.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/d/d2/Defocus_pattern_shift_vs_wavelength.png/300px-Defocus_pattern_shift_vs_wavelength.png" decoding="async" width="300" height="151" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/d/d2/Defocus_pattern_shift_vs_wavelength.png/450px-Defocus_pattern_shift_vs_wavelength.png 1.5x, //upload.wikimedia.org/wikipedia/commons/d/d2/Defocus_pattern_shift_vs_wavelength.png 2x" data-file-width="591" data-file-height="297" /></a><figcaption><b>Image shift due to defocus depends on wavelength.</b> The angular dependence of multilayer reflectance of the object (mask) is different for different wavelengths, leading to different shifts when defocused.</figcaption></figure> <p>Unlike deep ultraviolet (DUV) lithography sources, based on excimer lasers, EUV plasma sources produce light across a broad range of wavelengths<sup id="cite_ref-126" class="reference"><a href="#cite_note-126"><span class="cite-bracket">&#91;</span>126<span class="cite-bracket">&#93;</span></a></sup> roughly spanning a 2% FWHM bandwidth near 13.5 nm (13.36nm – 13.65nm at 50% power). <a href="/wiki/Extreme_ultraviolet" title="Extreme ultraviolet">EUV</a> (10–121nm) is the band longer than <a href="/wiki/X-ray" title="X-ray">X-Rays</a> (0.1–10nm) and shorter than the hydrogen <a href="/wiki/Lyman-alpha_line" class="mw-redirect" title="Lyman-alpha line">Lyman-alpha line</a>. </p><p>Though the EUV spectrum is not completely monochromatic, nor even as spectrally pure as DUV laser sources, the working wavelength has generally been taken to be 13.5&#160;nm. In actuality, the reflected power is distributed mostly in the 13.3-13.7&#160;nm range.<sup id="cite_ref-chao_127-0" class="reference"><a href="#cite_note-chao-127"><span class="cite-bracket">&#91;</span>127<span class="cite-bracket">&#93;</span></a></sup> The bandwidth of EUV light reflected by a multilayer mirror used for EUV lithography is over +/-2% (&gt;270 pm);<sup id="cite_ref-128" class="reference"><a href="#cite_note-128"><span class="cite-bracket">&#91;</span>128<span class="cite-bracket">&#93;</span></a></sup> the phase changes due to wavelength changes at a given illumination angle may be calculated<sup id="cite_ref-lbl_129-0" class="reference"><a href="#cite_note-lbl-129"><span class="cite-bracket">&#91;</span>129<span class="cite-bracket">&#93;</span></a></sup> and compared to the aberration budget.<sup id="cite_ref-130" class="reference"><a href="#cite_note-130"><span class="cite-bracket">&#91;</span>130<span class="cite-bracket">&#93;</span></a></sup> Wavelength dependence of <a href="/wiki/Reflectance" title="Reflectance">reflectance</a><sup id="cite_ref-lbl_129-1" class="reference"><a href="#cite_note-lbl-129"><span class="cite-bracket">&#91;</span>129<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-chao_127-1" class="reference"><a href="#cite_note-chao-127"><span class="cite-bracket">&#91;</span>127<span class="cite-bracket">&#93;</span></a></sup> also affects the apodization, or illumination distribution across the pupil (for different angles); different wavelengths effectively 'see' different illuminations as they are reflected differently by the multilayer of the mask.<sup id="cite_ref-davydova_131-0" class="reference"><a href="#cite_note-davydova-131"><span class="cite-bracket">&#91;</span>131<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-chao_127-2" class="reference"><a href="#cite_note-chao-127"><span class="cite-bracket">&#91;</span>127<span class="cite-bracket">&#93;</span></a></sup> This effective source illumination tilt can lead to large image shifts due to defocus.<sup id="cite_ref-132" class="reference"><a href="#cite_note-132"><span class="cite-bracket">&#91;</span>132<span class="cite-bracket">&#93;</span></a></sup> Conversely, the peak reflected wavelength varies across the pupil due to different incident angles.<sup id="cite_ref-chao_127-3" class="reference"><a href="#cite_note-chao-127"><span class="cite-bracket">&#91;</span>127<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-133" class="reference"><a href="#cite_note-133"><span class="cite-bracket">&#91;</span>133<span class="cite-bracket">&#93;</span></a></sup> This is aggravated when the angles span a wide radius, e.g., annular illumination. The peak reflectance wavelength increases for smaller incident angles.<sup id="cite_ref-134" class="reference"><a href="#cite_note-134"><span class="cite-bracket">&#91;</span>134<span class="cite-bracket">&#93;</span></a></sup> Aperiodic multilayers have been proposed to reduce the sensitivity at the cost of lower reflectivity but are too sensitive to random fluctuations of layer thicknesses, such as from thickness control imprecision or interdiffusion.<sup id="cite_ref-135" class="reference"><a href="#cite_note-135"><span class="cite-bracket">&#91;</span>135<span class="cite-bracket">&#93;</span></a></sup> </p><p>A narrower bandwidth would increase sensitivity to mask absorber and buffer thickness on the 1&#160;nm scale.<sup id="cite_ref-136" class="reference"><a href="#cite_note-136"><span class="cite-bracket">&#91;</span>136<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Flare">Flare</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=16" title="Edit section: Flare"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>Flare is the presence of background light originating from scattering off of surface features which are not resolved by the light. In EUV systems, this light can be EUV or out-of-band (OoB) light that is also produced by the EUV source. The OoB light adds the complication of affecting the resist exposure in ways other than accounted for by the EUV exposure. OoB light exposure may be alleviated by a layer coated above the resist, as well as 'black border' features on the EUV mask.<sup id="cite_ref-137" class="reference"><a href="#cite_note-137"><span class="cite-bracket">&#91;</span>137<span class="cite-bracket">&#93;</span></a></sup> However, the layer coating inevitably absorbs EUV light, and the black border adds EUV mask processing cost. </p> <div class="mw-heading mw-heading3"><h3 id="Line_tip_effects">Line tip effects</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=17" title="Edit section: Line tip effects"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>A key challenge for EUV is the counter-scaling behavior of the line tip-to-tip (T2T) distance as half-pitch (hp) is scaled down.<sup id="cite_ref-setten9661_110-1" class="reference"><a href="#cite_note-setten9661-110"><span class="cite-bracket">&#91;</span>110<span class="cite-bracket">&#93;</span></a></sup> This is in part due to lower image contrast for the binary masks used in EUV lithography, which is not encountered with the use of phase shift masks in immersion lithography.<sup id="cite_ref-138" class="reference"><a href="#cite_note-138"><span class="cite-bracket">&#91;</span>138<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-139" class="reference"><a href="#cite_note-139"><span class="cite-bracket">&#91;</span>139<span class="cite-bracket">&#93;</span></a></sup> The rounding of the corners of the line end leads to line end shortening,<sup id="cite_ref-140" class="reference"><a href="#cite_note-140"><span class="cite-bracket">&#91;</span>140<span class="cite-bracket">&#93;</span></a></sup> and this is worse for binary masks.<sup id="cite_ref-141" class="reference"><a href="#cite_note-141"><span class="cite-bracket">&#91;</span>141<span class="cite-bracket">&#93;</span></a></sup> The use of phase-shift masks in EUV lithography has been studied but encounters difficulties from phase control in thin layers<sup id="cite_ref-142" class="reference"><a href="#cite_note-142"><span class="cite-bracket">&#91;</span>142<span class="cite-bracket">&#93;</span></a></sup> as well as the bandwidth of the EUV light itself.<sup id="cite_ref-143" class="reference"><a href="#cite_note-143"><span class="cite-bracket">&#91;</span>143<span class="cite-bracket">&#93;</span></a></sup> More conventionally, <a href="/wiki/Optical_proximity_correction" title="Optical proximity correction">optical proximity correction</a> (OPC) is used to address the corner rounding and line-end shortening. In spite of this, it has been shown that the tip-to-tip resolution and the line tip printability are traded off against each other, being effectively CDs of opposite polarity.<sup id="cite_ref-144" class="reference"><a href="#cite_note-144"><span class="cite-bracket">&#91;</span>144<span class="cite-bracket">&#93;</span></a></sup> </p><p>In unidirectional metal layers, tip-to-tip spacing is one of the more severe issues for single exposure patterning. For the 40&#160;nm pitch vertical lines, an 18&#160;nm nominal tip-to-tip drawn gap resulted in an actual tip-to-tip distance of 29&#160;nm with OPC,<sup id="cite_ref-setten9661_110-2" class="reference"><a href="#cite_note-setten9661-110"><span class="cite-bracket">&#91;</span>110<span class="cite-bracket">&#93;</span></a></sup> while for 32&#160;nm pitch horizontal lines, the tip-to-tip distance with a 14&#160;nm nominal gap went to 31&#160;nm with OPC.<sup id="cite_ref-2014euvl_145-0" class="reference"><a href="#cite_note-2014euvl-145"><span class="cite-bracket">&#91;</span>145<span class="cite-bracket">&#93;</span></a></sup> These actual tip-to-tip distances define a lower limit of the half-pitch of the metal running in the direction perpendicular to the tip. In this case, the lower limit is around 30&#160;nm. With further optimization of the illumination (discussed in the section on source-mask optimization), the lower limit can be further reduced to around 25&#160;nm.<sup id="cite_ref-146" class="reference"><a href="#cite_note-146"><span class="cite-bracket">&#91;</span>146<span class="cite-bracket">&#93;</span></a></sup> </p><p>For larger pitches, where conventional illumination can be used, the line tip-to-tip distance is generally larger. For the 24&#160;nm half-pitch lines, with a 20&#160;nm nominally drawn gap, the distance was actually 45&#160;nm, while for 32&#160;nm half-pitch lines, the same nominal gap resulted in a tip-to-tip distance of 34&#160;nm.<sup id="cite_ref-2014euvl_145-1" class="reference"><a href="#cite_note-2014euvl-145"><span class="cite-bracket">&#91;</span>145<span class="cite-bracket">&#93;</span></a></sup> With OPC, these become 39&#160;nm and 28&#160;nm for 24&#160;nm half-pitch and 32&#160;nm half-pitch, respectively.<sup id="cite_ref-setten9231_147-0" class="reference"><a href="#cite_note-setten9231-147"><span class="cite-bracket">&#91;</span>147<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="Enhancement_opportunities_for_EUV_patterning">Enhancement opportunities for EUV patterning</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=18" title="Edit section: Enhancement opportunities for EUV patterning"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <div class="mw-heading mw-heading3"><h3 id="Assist_features">Assist features</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=19" title="Edit section: Assist features"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-halign-left" typeof="mw:File/Thumb"><a href="/wiki/File:Assist_feature_OPC.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/1/1d/Assist_feature_OPC.png/300px-Assist_feature_OPC.png" decoding="async" width="300" height="180" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/1/1d/Assist_feature_OPC.png/450px-Assist_feature_OPC.png 1.5x, //upload.wikimedia.org/wikipedia/commons/1/1d/Assist_feature_OPC.png 2x" data-file-width="482" data-file-height="290" /></a><figcaption><b>Assist feature OPC.</b> Assist features help improve the image of isolated features (blue) to be more like dense features (gray). However, the more effective they are, the greater the risk that the assist feature will print (orange).</figcaption></figure> <p>Assist features are often used to help balance asymmetry from non-telecentricity at different slit positions, due to different illumination angles, starting at the 7&#160;nm node,<sup id="cite_ref-148" class="reference"><a href="#cite_note-148"><span class="cite-bracket">&#91;</span>148<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-af_149-0" class="reference"><a href="#cite_note-af-149"><span class="cite-bracket">&#91;</span>149<span class="cite-bracket">&#93;</span></a></sup> where the pitch is ~ 41&#160;nm for a wavelength ~13.5&#160;nm and NA=0.33, corresponding to k1 ~ 0.5.<sup id="cite_ref-150" class="reference"><a href="#cite_note-150"><span class="cite-bracket">&#91;</span>150<span class="cite-bracket">&#93;</span></a></sup> However, the asymmetry is reduced but not eliminated, since the assist features mainly enhance the highest spatial frequencies, whereas intermediate spatial frequencies, which also affect feature focus and position, are not much affected. The coupling between the primary image and the self images is too strong for the asymmetry to be eliminated by assist features; only asymmetric illumination can achieve this.<sup id="cite_ref-2bar_71-1" class="reference"><a href="#cite_note-2bar-71"><span class="cite-bracket">&#91;</span>71<span class="cite-bracket">&#93;</span></a></sup> Assist features may also get in the way of access to power/ground rails. Power rails are expected to be wider, which also limits the effectiveness of using assist features, by constraining the local pitch. Local pitches between 1× and 2× the minimum pitch forbid assist feature placement, as there is simply no room to preserve the local pitch symmetry. In fact, for the application to the two-bar asymmetry case, the optimum assist feature placement may be less than or exceed the two-bar pitch.<sup id="cite_ref-af_149-1" class="reference"><a href="#cite_note-af-149"><span class="cite-bracket">&#91;</span>149<span class="cite-bracket">&#93;</span></a></sup> Depending on the parameter to be optimized (process window area, depth of focus, exposure latitude), the optimum assist feature configuration can be very different, e.g., pitch between assist feature and bar being different from two-bar pitch, symmetric or asymmetric, etc.. </p><p>At pitches smaller than 58&#160;nm, there is a tradeoff between depth of focus enhancement and contrast loss by assist feature placement.<sup id="cite_ref-af_149-2" class="reference"><a href="#cite_note-af-149"><span class="cite-bracket">&#91;</span>149<span class="cite-bracket">&#93;</span></a></sup> Generally, there is still a focus-exposure tradeoff as the dose window is constrained by the need to have the assist features not print accidentally. </p><p>An additional concern comes from shot noise;<sup id="cite_ref-151" class="reference"><a href="#cite_note-151"><span class="cite-bracket">&#91;</span>151<span class="cite-bracket">&#93;</span></a></sup> sub-resolution assist features (SRAFs) cause the required dose to be lower, so as not to print the assist features accidentally.<sup id="cite_ref-152" class="reference"><a href="#cite_note-152"><span class="cite-bracket">&#91;</span>152<span class="cite-bracket">&#93;</span></a></sup> This results in fewer photons defining smaller features (see discussion in section on shot noise). </p><p>As SRAFs are smaller features than primary features and are not supposed to receive doses high enough to print, they are more susceptible to stochastic dose variations causing printing errors; this is particularly prohibitive for EUV, where phase-shift masks may need to be used.<sup id="cite_ref-153" class="reference"><a href="#cite_note-153"><span class="cite-bracket">&#91;</span>153<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Source-mask_optimization">Source-mask optimization</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=20" title="Edit section: Source-mask optimization"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:EUV_SMO_effectiveness_vs._pitch.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/b/b6/EUV_SMO_effectiveness_vs._pitch.png/250px-EUV_SMO_effectiveness_vs._pitch.png" decoding="async" width="250" height="151" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/b/b6/EUV_SMO_effectiveness_vs._pitch.png/375px-EUV_SMO_effectiveness_vs._pitch.png 1.5x, //upload.wikimedia.org/wikipedia/commons/b/b6/EUV_SMO_effectiveness_vs._pitch.png 2x" data-file-width="481" data-file-height="290" /></a><figcaption><b>Pitch effect on SMO.</b> SMO carried out targeted for one pitch may have varying performance for other pitches.</figcaption></figure> <p>Due to the effects of non-telecentricity, standard illumination pupil shapes, such as disc or annular, are not sufficient to be used for feature sizes of ~20&#160;nm or below (10&#160;nm node and beyond).<sup id="cite_ref-auto_85-1" class="reference"><a href="#cite_note-auto-85"><span class="cite-bracket">&#91;</span>85<span class="cite-bracket">&#93;</span></a></sup> Instead certain parts of the pupil (often over 50%) must be asymmetrically excluded. The parts to be excluded depend on the pattern. In particular, the densest allowed lines need to be aligned along one direction and prefer a dipole shape. For this situation, double exposure lithography would be required for 2D patterns, due to the presence of both X- and Y-oriented patterns, each requiring its own 1D pattern mask and dipole orientation.<sup id="cite_ref-154" class="reference"><a href="#cite_note-154"><span class="cite-bracket">&#91;</span>154<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-155" class="reference"><a href="#cite_note-155"><span class="cite-bracket">&#91;</span>155<span class="cite-bracket">&#93;</span></a></sup> There may be 200–400 illuminating points, each contributing its weight of the dose to balance the overall image through focus. Thus the shot noise effect (to be discussed later) critically affects the image position through focus, in a large population of features. </p><p>Double- or multiple-patterning would also be required if a pattern consists of sub-patterns which require significantly different optimized illuminations, due to different pitches, orientations, shapes, and sizes. </p> <div class="mw-heading mw-heading4"><h4 id="Impact_of_slit_position_and_aberrations">Impact of slit position and aberrations</h4><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=21" title="Edit section: Impact of slit position and aberrations"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-halign-left" typeof="mw:File/Thumb"><a href="/wiki/File:EUV_pupil_wavelength_dependence.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/3/38/EUV_pupil_wavelength_dependence.png/300px-EUV_pupil_wavelength_dependence.png" decoding="async" width="300" height="161" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/3/38/EUV_pupil_wavelength_dependence.png/450px-EUV_pupil_wavelength_dependence.png 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/3/38/EUV_pupil_wavelength_dependence.png/600px-EUV_pupil_wavelength_dependence.png 2x" data-file-width="611" data-file-height="327" /></a><figcaption><b>Impact of different wavelengths.</b> Different wavelengths effectively have different pupils, resulting in different results of source-mask optimization.</figcaption></figure> <p>Largely due to the slit shape,<sup id="cite_ref-zeiss_106-1" class="reference"><a href="#cite_note-zeiss-106"><span class="cite-bracket">&#91;</span>106<span class="cite-bracket">&#93;</span></a></sup> and the presence of residual aberrations,<sup id="cite_ref-156" class="reference"><a href="#cite_note-156"><span class="cite-bracket">&#91;</span>156<span class="cite-bracket">&#93;</span></a></sup> the effectiveness of SMO varies across slit position.<sup id="cite_ref-auto2_157-0" class="reference"><a href="#cite_note-auto2-157"><span class="cite-bracket">&#91;</span>157<span class="cite-bracket">&#93;</span></a></sup> At each slit position, there are different aberrations<sup id="cite_ref-litho2017_122-1" class="reference"><a href="#cite_note-litho2017-122"><span class="cite-bracket">&#91;</span>122<span class="cite-bracket">&#93;</span></a></sup> and different azimuthal angles of incidence leading to different shadowing.<sup id="cite_ref-arc186_43-2" class="reference"><a href="#cite_note-arc186-43"><span class="cite-bracket">&#91;</span>43<span class="cite-bracket">&#93;</span></a></sup> Consequently, there could be uncorrected variations across slit for aberration-sensitive features, which may not be obviously seen with regular line-space patterns.<sup id="cite_ref-af_149-3" class="reference"><a href="#cite_note-af-149"><span class="cite-bracket">&#91;</span>149<span class="cite-bracket">&#93;</span></a></sup> At each slit position, although <a href="/wiki/Optical_proximity_correction" title="Optical proximity correction">optical proximity correction (OPC)</a>, including the assist features mentioned above, may also be applied to address the aberrations,<sup id="cite_ref-pole_aberration_158-0" class="reference"><a href="#cite_note-pole_aberration-158"><span class="cite-bracket">&#91;</span>158<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-159" class="reference"><a href="#cite_note-159"><span class="cite-bracket">&#91;</span>159<span class="cite-bracket">&#93;</span></a></sup> they also feedback into the illumination specification,<sup id="cite_ref-160" class="reference"><a href="#cite_note-160"><span class="cite-bracket">&#91;</span>160<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-auto2_157-1" class="reference"><a href="#cite_note-auto2-157"><span class="cite-bracket">&#91;</span>157<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-161" class="reference"><a href="#cite_note-161"><span class="cite-bracket">&#91;</span>161<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-162" class="reference"><a href="#cite_note-162"><span class="cite-bracket">&#91;</span>162<span class="cite-bracket">&#93;</span></a></sup> since the benefits differ for different illumination conditions.<sup id="cite_ref-pole_aberration_158-1" class="reference"><a href="#cite_note-pole_aberration-158"><span class="cite-bracket">&#91;</span>158<span class="cite-bracket">&#93;</span></a></sup> This would necessitate the use of different source-mask combinations at each slit position, i.e., multiple mask exposures per layer.<sup id="cite_ref-litho2017_122-2" class="reference"><a href="#cite_note-litho2017-122"><span class="cite-bracket">&#91;</span>122<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-163" class="reference"><a href="#cite_note-163"><span class="cite-bracket">&#91;</span>163<span class="cite-bracket">&#93;</span></a></sup> </p><p>The above-mentioned chromatic aberrations, due to mask-induced apodization,<sup id="cite_ref-davydova_131-1" class="reference"><a href="#cite_note-davydova-131"><span class="cite-bracket">&#91;</span>131<span class="cite-bracket">&#93;</span></a></sup> also lead to inconsistent source-mask optimizations for different wavelengths. </p> <div class="mw-heading mw-heading4"><h4 id="Pitch-dependent_focus_windows">Pitch-dependent focus windows</h4><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=22" title="Edit section: Pitch-dependent focus windows"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>The best focus for a given feature size varies as a strong function of pitch, polarity, and orientation under a given illumination.<sup id="cite_ref-164" class="reference"><a href="#cite_note-164"><span class="cite-bracket">&#91;</span>164<span class="cite-bracket">&#93;</span></a></sup> At 36&#160;nm pitch, horizontal and vertical darkfield features have more than 30&#160;nm difference of focus. The 34&#160;nm pitch and 48&#160;nm pitch features have the largest difference of best focus regardless of feature type. In the 48–64&#160;nm pitch range, the best focus position shifts roughly linearly as a function of pitch, by as much as 10–20&#160;nm.<sup id="cite_ref-165" class="reference"><a href="#cite_note-165"><span class="cite-bracket">&#91;</span>165<span class="cite-bracket">&#93;</span></a></sup> For the 34–48&#160;nm pitch range, the best focus position shifts roughly linearly in the opposite direction as a function of pitch. This can be correlated with the phase difference between the zero and first diffraction orders.<sup id="cite_ref-166" class="reference"><a href="#cite_note-166"><span class="cite-bracket">&#91;</span>166<span class="cite-bracket">&#93;</span></a></sup> Assist features, if they can fit within the pitch, were found not to reduce this tendency much, for a range of intermediate pitches,<sup id="cite_ref-167" class="reference"><a href="#cite_note-167"><span class="cite-bracket">&#91;</span>167<span class="cite-bracket">&#93;</span></a></sup> or even worsened it for the case of 18–27&#160;nm and quasar illumination.<sup id="cite_ref-168" class="reference"><a href="#cite_note-168"><span class="cite-bracket">&#91;</span>168<span class="cite-bracket">&#93;</span></a></sup> 50&#160;nm contact holes on 100&#160;nm and 150 pitches had best focus positions separated by roughly 25&#160;nm; smaller features are expected to be worse.<sup id="cite_ref-169" class="reference"><a href="#cite_note-169"><span class="cite-bracket">&#91;</span>169<span class="cite-bracket">&#93;</span></a></sup> Contact holes in the 48–100&#160;nm pitch range showed a 37&#160;nm best focus range.<sup id="cite_ref-170" class="reference"><a href="#cite_note-170"><span class="cite-bracket">&#91;</span>170<span class="cite-bracket">&#93;</span></a></sup> The best focus position vs. pitch is also dependent on resist.<sup id="cite_ref-171" class="reference"><a href="#cite_note-171"><span class="cite-bracket">&#91;</span>171<span class="cite-bracket">&#93;</span></a></sup> Critical layers often contain lines at one minimum pitch of one polarity, e.g., darkfield trenches, in one orientation, e.g., vertical, mixed with spaces of the other polarity of the other orientation. This often magnifies the best focus differences, and challenges the tip-to-tip and tip-to-line imaging.<sup id="cite_ref-172" class="reference"><a href="#cite_note-172"><span class="cite-bracket">&#91;</span>172<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading4"><h4 id="Reduction_of_pupil_fill">Reduction of pupil fill</h4><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=23" title="Edit section: Reduction of pupil fill"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:Screenshot_20230216_130758_PowerPoint.jpg" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/4/48/Screenshot_20230216_130758_PowerPoint.jpg/300px-Screenshot_20230216_130758_PowerPoint.jpg" decoding="async" width="300" height="218" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/4/48/Screenshot_20230216_130758_PowerPoint.jpg/450px-Screenshot_20230216_130758_PowerPoint.jpg 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/4/48/Screenshot_20230216_130758_PowerPoint.jpg/600px-Screenshot_20230216_130758_PowerPoint.jpg 2x" data-file-width="1464" data-file-height="1063" /></a><figcaption>Pupil rotation across slit forces use of much lower pupil fill (within the trapezoids or rectangles) for dipole illumination.</figcaption></figure> <p>A consequence of SMO and shifting focus windows has been the reduction of pupil fill. In other words, the optimum illumination is necessarily an optimized overlap of the preferred illuminations for the various patterns that need to be considered. This leads to lower pupil fill providing better results. However, throughput is affected below 20% pupil fill due to absorption.<sup id="cite_ref-173" class="reference"><a href="#cite_note-173"><span class="cite-bracket">&#91;</span>173<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-174" class="reference"><a href="#cite_note-174"><span class="cite-bracket">&#91;</span>174<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-auto1_54-1" class="reference"><a href="#cite_note-auto1-54"><span class="cite-bracket">&#91;</span>54<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Phase_shift_masks">Phase shift masks</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=24" title="Edit section: Phase shift masks"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:EUV_attPSM_near_field_phase.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/9/97/EUV_attPSM_near_field_phase.png/250px-EUV_attPSM_near_field_phase.png" decoding="async" width="250" height="149" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/9/97/EUV_attPSM_near_field_phase.png/375px-EUV_attPSM_near_field_phase.png 1.5x, //upload.wikimedia.org/wikipedia/commons/9/97/EUV_attPSM_near_field_phase.png 2x" data-file-width="480" data-file-height="287" /></a><figcaption><b>Phase profile of attenuated phase shift mask for EUV.</b> The phase profile (red) for an attenuated phase shift mask used with a partially transmitting EUV absorber does not match the ideal profile design (dotted), due to oblique incidence illumination and absorber edge scattering.</figcaption></figure> <p>A commonly touted advantage of EUV has been the relative ease of lithography, as indicated by the ratio of feature size to the wavelength multiplied by the numerical aperture, also known as the k1 ratio. An 18&#160;nm metal linewidth has a k1 of 0.44 for 13.5&#160;nm wavelength, 0.33 NA, for example. For the k1 approaching 0.5, some weak resolution enhancement including <a href="/wiki/Phase-shift_mask" title="Phase-shift mask">attenuated phase shift masks</a> has been used as essential to production with the ArF laser wavelength (193&#160;nm),<sup id="cite_ref-175" class="reference"><a href="#cite_note-175"><span class="cite-bracket">&#91;</span>175<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-176" class="reference"><a href="#cite_note-176"><span class="cite-bracket">&#91;</span>176<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-177" class="reference"><a href="#cite_note-177"><span class="cite-bracket">&#91;</span>177<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-178" class="reference"><a href="#cite_note-178"><span class="cite-bracket">&#91;</span>178<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-179" class="reference"><a href="#cite_note-179"><span class="cite-bracket">&#91;</span>179<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-180" class="reference"><a href="#cite_note-180"><span class="cite-bracket">&#91;</span>180<span class="cite-bracket">&#93;</span></a></sup> whereas this resolution enhancement is not available for EUV.<sup id="cite_ref-181" class="reference"><a href="#cite_note-181"><span class="cite-bracket">&#91;</span>181<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-3dattpsm_182-0" class="reference"><a href="#cite_note-3dattpsm-182"><span class="cite-bracket">&#91;</span>182<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-183" class="reference"><a href="#cite_note-183"><span class="cite-bracket">&#91;</span>183<span class="cite-bracket">&#93;</span></a></sup> In particular, 3D mask effects including scattering at the absorber edges distort the desired phase profile.<sup id="cite_ref-3dattpsm_182-1" class="reference"><a href="#cite_note-3dattpsm-182"><span class="cite-bracket">&#91;</span>182<span class="cite-bracket">&#93;</span></a></sup> Also, the phase profile is effectively derived from the plane wave spectrum reflected from the multilayer through the absorber rather than the incident plane wave.<sup id="cite_ref-184" class="reference"><a href="#cite_note-184"><span class="cite-bracket">&#91;</span>184<span class="cite-bracket">&#93;</span></a></sup> Without absorbers, near-field distortion also occurs at an etched multilayer sidewall due to the oblique incidence illumination;<sup id="cite_ref-185" class="reference"><a href="#cite_note-185"><span class="cite-bracket">&#91;</span>185<span class="cite-bracket">&#93;</span></a></sup> some light traverses only a limited number of bilayers near the sidewall.<sup id="cite_ref-euvlmask_68-1" class="reference"><a href="#cite_note-euvlmask-68"><span class="cite-bracket">&#91;</span>68<span class="cite-bracket">&#93;</span></a></sup> Additionally, the different polarizations (TE and TM) have different phase shifts.<sup id="cite_ref-euvlmask_68-2" class="reference"><a href="#cite_note-euvlmask-68"><span class="cite-bracket">&#91;</span>68<span class="cite-bracket">&#93;</span></a></sup>.Fundamentally, a chromeless phase shift mask enables pitch splitting by suppression of the zeroth diffracted order on the mask, but fabricating a high quality phase shift mask for EUV is certainly not a trivial task. One possible way to achieve this is through spatial filtering at the Fourier plane of the mask pattern. At Lawrence Berkeley National Lab, the light of the zeroth order is a centrally obscured system, and the +/-1 diffracted orders will be captured by the clear aperture, providing a functional equivalent to the chromeless phase shift mask while using a conventional binary amplitude mask.<sup id="cite_ref-186" class="reference"><a href="#cite_note-186"><span class="cite-bracket">&#91;</span>186<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="EUV_photoresist_exposure:_the_role_of_electrons">EUV photoresist exposure: the role of electrons</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=25" title="Edit section: EUV photoresist exposure: the role of electrons"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>EUV light generates photoelectrons upon absorption by matter. These photoelectrons in turn generate secondary electrons, which slow down before engaging in chemical reactions.<sup id="cite_ref-187" class="reference"><a href="#cite_note-187"><span class="cite-bracket">&#91;</span>187<span class="cite-bracket">&#93;</span></a></sup> At sufficient doses 40&#160;eV electrons are known to penetrate 180&#160;nm thick resist leading to development.<sup id="cite_ref-188" class="reference"><a href="#cite_note-188"><span class="cite-bracket">&#91;</span>188<span class="cite-bracket">&#93;</span></a></sup> At a dose of 160&#160;μC/cm<sup>2</sup>, corresponding to 15&#160;mJ/cm<sup>2</sup> EUV dose assuming one electron/photon, 30&#160;eV electrons removed 7&#160;nm of PMMA resist after standard development.<sup id="cite_ref-189" class="reference"><a href="#cite_note-189"><span class="cite-bracket">&#91;</span>189<span class="cite-bracket">&#93;</span></a></sup> For a higher 30&#160;eV dose of 380&#160;μC/cm<sup>2</sup>, equivalent to 36&#160;mJ/cm<sup>2</sup> at one electron/photon, 10.4&#160;nm of PMMA resist are removed.<sup id="cite_ref-190" class="reference"><a href="#cite_note-190"><span class="cite-bracket">&#91;</span>190<span class="cite-bracket">&#93;</span></a></sup> These indicate the distances the electrons can travel in resist, regardless of direction.<sup id="cite_ref-191" class="reference"><a href="#cite_note-191"><span class="cite-bracket">&#91;</span>191<span class="cite-bracket">&#93;</span></a></sup> </p><p>The degree of photoelectron emission from the layer underlying the EUV photoresist has been shown to affect the depth of focus.<sup id="cite_ref-192" class="reference"><a href="#cite_note-192"><span class="cite-bracket">&#91;</span>192<span class="cite-bracket">&#93;</span></a></sup> Unfortunately, hardmask layers tend to increase photoelectron emission, degrading the depth of focus. Electrons from defocused images in the resist can also affect the best focus image.<sup id="cite_ref-193" class="reference"><a href="#cite_note-193"><span class="cite-bracket">&#91;</span>193<span class="cite-bracket">&#93;</span></a></sup> </p><p>The randomness of the number of secondary electrons is itself a source of stochastic behavior in EUV resist images. The scale length of electron blur itself has a distribution.<sup id="cite_ref-194" class="reference"><a href="#cite_note-194"><span class="cite-bracket">&#91;</span>194<span class="cite-bracket">&#93;</span></a></sup> Intel demonstrated with a rigorous simulation that EUV-released electrons scatter distances larger than 15 nm in EUV resists.<sup id="cite_ref-195" class="reference"><a href="#cite_note-195"><span class="cite-bracket">&#91;</span>195<span class="cite-bracket">&#93;</span></a></sup> </p><p>The electron blur is also affected by total internal reflection from the top surface of the resist film.<sup id="cite_ref-196" class="reference"><a href="#cite_note-196"><span class="cite-bracket">&#91;</span>196<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-197" class="reference"><a href="#cite_note-197"><span class="cite-bracket">&#91;</span>197<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Effect_of_underlying_layers">Effect of underlying layers</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=26" title="Edit section: Effect of underlying layers"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:Secondary_Electrons_from_Layers_under_EUV_Resist.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/5/51/Secondary_Electrons_from_Layers_under_EUV_Resist.png/300px-Secondary_Electrons_from_Layers_under_EUV_Resist.png" decoding="async" width="300" height="71" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/5/51/Secondary_Electrons_from_Layers_under_EUV_Resist.png/450px-Secondary_Electrons_from_Layers_under_EUV_Resist.png 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/5/51/Secondary_Electrons_from_Layers_under_EUV_Resist.png/600px-Secondary_Electrons_from_Layers_under_EUV_Resist.png 2x" data-file-width="1403" data-file-height="333" /></a><figcaption>Electrons from layers under the resist can affect the profile and onset of collapse.</figcaption></figure> <p>Secondary electrons from layers underneath the resist can affect the resist profile as well as pattern collapse.<sup id="cite_ref-198" class="reference"><a href="#cite_note-198"><span class="cite-bracket">&#91;</span>198<span class="cite-bracket">&#93;</span></a></sup> Hence, selection of such both the underlayer and the layer under that layer are important considerations for EUV lithography. Moreover, the electrons from defocused images can aggravate the stochastic nature of the image.<sup id="cite_ref-199" class="reference"><a href="#cite_note-199"><span class="cite-bracket">&#91;</span>199<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="Contamination_effects">Contamination effects</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=27" title="Edit section: Contamination effects"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <div class="mw-heading mw-heading3"><h3 id="Resist_outgassing">Resist outgassing</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=28" title="Edit section: Resist outgassing"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:Outgassing_contamination_vs_dose.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/e/e0/Outgassing_contamination_vs_dose.png/300px-Outgassing_contamination_vs_dose.png" decoding="async" width="300" height="184" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/e/e0/Outgassing_contamination_vs_dose.png/450px-Outgassing_contamination_vs_dose.png 1.5x, //upload.wikimedia.org/wikipedia/commons/e/e0/Outgassing_contamination_vs_dose.png 2x" data-file-width="454" data-file-height="279" /></a><figcaption><b>Outgassing contamination vs. EUV dose:</b> The increase of dose to size (E<sub>size</sub>) to reduce <a href="/wiki/Shot_noise" title="Shot noise">shot noise</a> and roughness comes at price of increased contamination from <a href="/wiki/Outgassing" title="Outgassing">outgassing</a>. The contamination thickness shown here is relative to a reference resist.</figcaption></figure> <p>Due to the high efficiency of absorption of EUV by photoresists, heating and outgassing become primary concerns. One well-known issue is contamination deposition on the resist from ambient or outgassed hydrocarbons, which results from EUV- or electron-driven reactions.<sup id="cite_ref-200" class="reference"><a href="#cite_note-200"><span class="cite-bracket">&#91;</span>200<span class="cite-bracket">&#93;</span></a></sup> Organic photoresists outgas hydrocarbons<sup id="cite_ref-201" class="reference"><a href="#cite_note-201"><span class="cite-bracket">&#91;</span>201<span class="cite-bracket">&#93;</span></a></sup> while metal oxide photoresists outgas water and oxygen<sup id="cite_ref-202" class="reference"><a href="#cite_note-202"><span class="cite-bracket">&#91;</span>202<span class="cite-bracket">&#93;</span></a></sup> and metal (in a hydrogen ambient); the last is uncleanable.<sup id="cite_ref-sn_contam_52-1" class="reference"><a href="#cite_note-sn_contam-52"><span class="cite-bracket">&#91;</span>52<span class="cite-bracket">&#93;</span></a></sup> The carbon contamination is known to affect multilayer reflectivity<sup id="cite_ref-203" class="reference"><a href="#cite_note-203"><span class="cite-bracket">&#91;</span>203<span class="cite-bracket">&#93;</span></a></sup> while the oxygen is particularly harmful for the ruthenium capping layers (relatively stable under EUV and hydrogen conditions) on the EUV multilayer optics.<sup id="cite_ref-204" class="reference"><a href="#cite_note-204"><span class="cite-bracket">&#91;</span>204<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Tin_redeposition">Tin redeposition</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=29" title="Edit section: Tin redeposition"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>Atomic <a href="/wiki/Hydrogen" title="Hydrogen">hydrogen</a> in the tool chambers is used to clean <a href="/wiki/Tin" title="Tin">tin</a> and <a href="/wiki/Carbon" title="Carbon">carbon</a> which deposit on the EUV optical surfaces.<sup id="cite_ref-sn_clean_205-0" class="reference"><a href="#cite_note-sn_clean-205"><span class="cite-bracket">&#91;</span>205<span class="cite-bracket">&#93;</span></a></sup> Atomic hydrogen is produced by EUV light directly photoionizing H<sub>2</sub>:<sup id="cite_ref-tvdeven_206-0" class="reference"><a href="#cite_note-tvdeven-206"><span class="cite-bracket">&#91;</span>206<span class="cite-bracket">&#93;</span></a></sup> </p> <dl><dd>hν + H<sub>2</sub> → H<sup>+</sup> + H + e<sup>−</sup>.</dd></dl> <p>Electrons generated in the above reaction may also dissociate H<sub>2</sub> to form atomic hydrogen:<sup id="cite_ref-tvdeven_206-1" class="reference"><a href="#cite_note-tvdeven-206"><span class="cite-bracket">&#91;</span>206<span class="cite-bracket">&#93;</span></a></sup> </p> <dl><dd>e<sup>−</sup> + H<sub>2</sub> → H<sup>+</sup> + H + 2e<sup>−</sup>.</dd></dl> <p>The reaction with <a href="/wiki/Tin" title="Tin">tin</a> in the light source (e.g., tin on an optical surface in the source) to form volatile SnH<sub>4</sub> (<a href="/wiki/Stannane" title="Stannane">stannane</a>) that can be pumped out from the source proceeds via the reaction<sup id="cite_ref-sn_clean_205-1" class="reference"><a href="#cite_note-sn_clean-205"><span class="cite-bracket">&#91;</span>205<span class="cite-bracket">&#93;</span></a></sup> </p> <dl><dd>Sn(s) + 4 H(g) → SnH<sub>4</sub>(g).</dd></dl> <p>The SnH<sub>4</sub> can reach the coatings of other EUV optical surfaces, where it redeposits Sn via the reaction<sup id="cite_ref-sn_clean_205-2" class="reference"><a href="#cite_note-sn_clean-205"><span class="cite-bracket">&#91;</span>205<span class="cite-bracket">&#93;</span></a></sup> </p> <dl><dd>SnH<sub>4</sub> → Sn(s) + 2 H<sub>2</sub>(g).</dd></dl> <p>Redeposition may also occur by other intermediate reactions.<sup id="cite_ref-207" class="reference"><a href="#cite_note-207"><span class="cite-bracket">&#91;</span>207<span class="cite-bracket">&#93;</span></a></sup> </p><p>The redeposited Sn<sup id="cite_ref-snh4_51-1" class="reference"><a href="#cite_note-snh4-51"><span class="cite-bracket">&#91;</span>51<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-sn_contam_52-2" class="reference"><a href="#cite_note-sn_contam-52"><span class="cite-bracket">&#91;</span>52<span class="cite-bracket">&#93;</span></a></sup> might be subsequently removed by atomic-hydrogen exposure. However, overall, the tin cleaning efficiency (the ratio of the removed tin flux from a tin sample to the atomic-hydrogen flux to the tin sample) is less than 0.01%, due to both redeposition and hydrogen desorption, leading to formation of hydrogen molecules at the expense of atomic hydrogen.<sup id="cite_ref-sn_clean_205-3" class="reference"><a href="#cite_note-sn_clean-205"><span class="cite-bracket">&#91;</span>205<span class="cite-bracket">&#93;</span></a></sup> The tin cleaning efficiency for tin oxide is found roughly twice higher than that of tin (with a native oxide layer of ~2&#160;nm on it).<sup id="cite_ref-sn_clean_205-4" class="reference"><a href="#cite_note-sn_clean-205"><span class="cite-bracket">&#91;</span>205<span class="cite-bracket">&#93;</span></a></sup> Injecting a small amount of oxygen to the light source may improve the tin cleaning rate. </p> <div class="mw-heading mw-heading3"><h3 id="Hydrogen_blistering">Hydrogen blistering</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=30" title="Edit section: Hydrogen blistering"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:Atomic_hydrogen_in_multilayer.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/f/f3/Atomic_hydrogen_in_multilayer.png/300px-Atomic_hydrogen_in_multilayer.png" decoding="async" width="300" height="180" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/f/f3/Atomic_hydrogen_in_multilayer.png 1.5x" data-file-width="413" data-file-height="248" /></a><figcaption>Hydrogen-induced blistering defects. Atomic hydrogen (red dots) used for cleaning surfaces can penetrate underneath the surface. In the Mo/Si multilayers, H<sub>2</sub> (paired red dots) is formed and trapped, resulting in blister (white region) formation.</figcaption></figure> <p>Hydrogen also reacts with metal-containing compounds to reduce them to metal,<sup id="cite_ref-208" class="reference"><a href="#cite_note-208"><span class="cite-bracket">&#91;</span>208<span class="cite-bracket">&#93;</span></a></sup> and diffuses through the silicon<sup id="cite_ref-209" class="reference"><a href="#cite_note-209"><span class="cite-bracket">&#91;</span>209<span class="cite-bracket">&#93;</span></a></sup> and molybdenum<sup id="cite_ref-210" class="reference"><a href="#cite_note-210"><span class="cite-bracket">&#91;</span>210<span class="cite-bracket">&#93;</span></a></sup> in the multilayer, eventually causing blistering.<sup id="cite_ref-bliseuvm_211-0" class="reference"><a href="#cite_note-bliseuvm-211"><span class="cite-bracket">&#91;</span>211<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-capclean_212-0" class="reference"><a href="#cite_note-capclean-212"><span class="cite-bracket">&#91;</span>212<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-213" class="reference"><a href="#cite_note-213"><span class="cite-bracket">&#91;</span>213<span class="cite-bracket">&#93;</span></a></sup> Capping layers that mitigate hydrogen-related damage often reduce reflectivity to well below 70%.<sup id="cite_ref-capclean_212-1" class="reference"><a href="#cite_note-capclean-212"><span class="cite-bracket">&#91;</span>212<span class="cite-bracket">&#93;</span></a></sup> Capping layers are known to be permeable to ambient gases including oxygen<sup id="cite_ref-214" class="reference"><a href="#cite_note-214"><span class="cite-bracket">&#91;</span>214<span class="cite-bracket">&#93;</span></a></sup> and hydrogen,<sup id="cite_ref-215" class="reference"><a href="#cite_note-215"><span class="cite-bracket">&#91;</span>215<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-216" class="reference"><a href="#cite_note-216"><span class="cite-bracket">&#91;</span>216<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-217" class="reference"><a href="#cite_note-217"><span class="cite-bracket">&#91;</span>217<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-218" class="reference"><a href="#cite_note-218"><span class="cite-bracket">&#91;</span>218<span class="cite-bracket">&#93;</span></a></sup> as well as susceptible to the hydrogen-induced blistering defects.<sup id="cite_ref-bd_219-0" class="reference"><a href="#cite_note-bd-219"><span class="cite-bracket">&#91;</span>219<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-bliseuvm_211-1" class="reference"><a href="#cite_note-bliseuvm-211"><span class="cite-bracket">&#91;</span>211<span class="cite-bracket">&#93;</span></a></sup> Hydrogen may also react with the capping layer, resulting in its removal.<sup id="cite_ref-220" class="reference"><a href="#cite_note-220"><span class="cite-bracket">&#91;</span>220<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Tin_spitting">Tin spitting</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=31" title="Edit section: Tin spitting"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>Hydrogen can penetrate molten tin (Sn), creating hydrogen bubbles inside it. If the bubbles move at the molten tin surface, then it bursts with tin, resulting in tin spreading over a large angle range. This phenomenon is called tin spitting and is one of EUV Collector contamination sources. </p> <div class="mw-heading mw-heading3"><h3 id="Resist_erosion">Resist erosion</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=32" title="Edit section: Resist erosion"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>Hydrogen also reacts with resists to etch<sup id="cite_ref-221" class="reference"><a href="#cite_note-221"><span class="cite-bracket">&#91;</span>221<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-222" class="reference"><a href="#cite_note-222"><span class="cite-bracket">&#91;</span>222<span class="cite-bracket">&#93;</span></a></sup> or decompose<sup id="cite_ref-223" class="reference"><a href="#cite_note-223"><span class="cite-bracket">&#91;</span>223<span class="cite-bracket">&#93;</span></a></sup> them. Besides photoresist, hydrogen plasmas can also etch silicon, albeit very slowly.<sup id="cite_ref-ThedjoisworoCheung2013_224-0" class="reference"><a href="#cite_note-ThedjoisworoCheung2013-224"><span class="cite-bracket">&#91;</span>224<span class="cite-bracket">&#93;</span></a></sup><sup class="noprint Inline-Template noprint Template-Fact" style="white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:No_original_research#Primary,_secondary_and_tertiary_sources" title="Wikipedia:No original research"><span title="This claim needs references to reliable secondary sources. (January 2019)">non-primary source needed</span></a></i>&#93;</sup> </p> <div class="mw-heading mw-heading3"><h3 id="Membrane">Membrane</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=33" title="Edit section: Membrane"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>To help mitigate the above effects, the latest EUV tool introduced in 2017, the NXE:3400B, features a membrane that separates the wafer from the projection optics of the tool, protecting the latter from outgassing from the resist on the wafer.<sup id="cite_ref-auto1_54-2" class="reference"><a href="#cite_note-auto1-54"><span class="cite-bracket">&#91;</span>54<span class="cite-bracket">&#93;</span></a></sup> The membrane contains layers which absorb DUV and IR radiation, and transmits 85–90% of the incident EUV radiation. There is of course, accumulated contamination from wafer outgassing as well as particles in general (although the latter are out of focus, they may still obstruct light). </p> <div class="mw-heading mw-heading2"><h2 id="EUV-induced_plasma">EUV-induced plasma</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=34" title="Edit section: EUV-induced plasma"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-default-size mw-halign-left" typeof="mw:File/Thumb"><a href="/wiki/File:Spread_of_electrons_outside_EUV_exposure_area.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/9/96/Spread_of_electrons_outside_EUV_exposure_area.png/220px-Spread_of_electrons_outside_EUV_exposure_area.png" decoding="async" width="220" height="175" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/9/96/Spread_of_electrons_outside_EUV_exposure_area.png/330px-Spread_of_electrons_outside_EUV_exposure_area.png 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/9/96/Spread_of_electrons_outside_EUV_exposure_area.png/440px-Spread_of_electrons_outside_EUV_exposure_area.png 2x" data-file-width="662" data-file-height="528" /></a><figcaption>Electron charging from the EUV-induced plasma occurs even outside the EUV exposure area (purple borders)</figcaption></figure> <p>EUV lithographic systems using EUV light operate in 1–10 Pa hydrogen background gas.<sup id="cite_ref-225" class="reference"><a href="#cite_note-225"><span class="cite-bracket">&#91;</span>225<span class="cite-bracket">&#93;</span></a></sup> The plasma is a source of VUV radiation<sup id="cite_ref-226" class="reference"><a href="#cite_note-226"><span class="cite-bracket">&#91;</span>226<span class="cite-bracket">&#93;</span></a></sup> as well as electrons and hydrogen ions<sup id="cite_ref-plasmadamage_227-0" class="reference"><a href="#cite_note-plasmadamage-227"><span class="cite-bracket">&#91;</span>227<span class="cite-bracket">&#93;</span></a></sup> This plasma is known to etch exposed materials.<sup id="cite_ref-plasmadamage_227-1" class="reference"><a href="#cite_note-plasmadamage-227"><span class="cite-bracket">&#91;</span>227<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-228" class="reference"><a href="#cite_note-228"><span class="cite-bracket">&#91;</span>228<span class="cite-bracket">&#93;</span></a></sup> </p><p>In 2023, a study supported at TSMC was published which indicated net charging by electrons from the plasma as well as from electron emission.<sup id="cite_ref-229" class="reference"><a href="#cite_note-229"><span class="cite-bracket">&#91;</span>229<span class="cite-bracket">&#93;</span></a></sup> The charging was found to occur even outside the EUV exposure area, indicating that the surrounding area had been exposed to electrons. </p><p>Due to chemical sputtering of carbon by the hydrogen plasma,<sup id="cite_ref-230" class="reference"><a href="#cite_note-230"><span class="cite-bracket">&#91;</span>230<span class="cite-bracket">&#93;</span></a></sup> there can be generation of nanoparticles,<sup id="cite_ref-231" class="reference"><a href="#cite_note-231"><span class="cite-bracket">&#91;</span>231<span class="cite-bracket">&#93;</span></a></sup> which can obstruct the EUV resist exposure.<sup id="cite_ref-232" class="reference"><a href="#cite_note-232"><span class="cite-bracket">&#91;</span>232<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-233" class="reference"><a href="#cite_note-233"><span class="cite-bracket">&#91;</span>233<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="Mask_defects">Mask defects</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=35" title="Edit section: Mask defects"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:EUVL_printable_defects.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/1/1d/EUVL_printable_defects.png/300px-EUVL_printable_defects.png" decoding="async" width="300" height="146" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/1/1d/EUVL_printable_defects.png/450px-EUVL_printable_defects.png 1.5x, //upload.wikimedia.org/wikipedia/commons/1/1d/EUVL_printable_defects.png 2x" data-file-width="593" data-file-height="289" /></a><figcaption>EUV defect printability vs. pitch. The printability (here 10% CD) of a defect of a given height and width varies with pitch. Note that even the surface roughness on the multilayer here can have noticeable impact.</figcaption></figure> <p>Reducing defects on extreme ultraviolet (EUV) masks is currently one of the most critical issues to be addressed for commercialization of EUV lithography.<sup id="cite_ref-234" class="reference"><a href="#cite_note-234"><span class="cite-bracket">&#91;</span>234<span class="cite-bracket">&#93;</span></a></sup> Defects can be buried underneath or within the multilayer stack<sup id="cite_ref-235" class="reference"><a href="#cite_note-235"><span class="cite-bracket">&#91;</span>235<span class="cite-bracket">&#93;</span></a></sup> or be on top of the multilayer stack. Mesas or protrusions form on the sputtering targets used for multilayer deposition, which may fall off as particles during the multilayer deposition.<sup id="cite_ref-236" class="reference"><a href="#cite_note-236"><span class="cite-bracket">&#91;</span>236<span class="cite-bracket">&#93;</span></a></sup> In fact, defects of atomic scale height (0.3–0.5&#160;nm) with 100&#160;nm <a href="/wiki/Full_width_at_half_maximum" title="Full width at half maximum">FWHM</a> can still be printable by exhibiting 10% CD impact.<sup id="cite_ref-237" class="reference"><a href="#cite_note-237"><span class="cite-bracket">&#91;</span>237<span class="cite-bracket">&#93;</span></a></sup> IBM and Toppan reported at Photomask Japan 2015 that smaller defects, e.g., 50&#160;nm size, can have 10% CD impact even with 0.6&#160;nm height, yet remain undetectable.<sup id="cite_ref-238" class="reference"><a href="#cite_note-238"><span class="cite-bracket">&#91;</span>238<span class="cite-bracket">&#93;</span></a></sup> </p><p>Furthermore, the edge of a phase defect will further reduce <a rel="nofollow" class="external text" href="http://henke.lbl.gov/optical_constants/multi2.html">reflectivity</a> by more than 10% if its deviation from flatness exceeds 3 degrees, due to the deviation from the target angle of incidence of 84 degrees with respect to the surface. Even if the defect height is shallow, the edge still deforms the overlying multilayer, producing an extended region where the multilayer is sloped. The more abrupt the deformation, the narrower the defect edge extension, the greater the loss in reflectivity. </p><p>EUV mask defect repair is also more complicated due to the across-slit illumination variation mentioned above. Due to the varying shadowing sensitivity across the slit, the repair deposition height must be controlled very carefully, being different at different positions across the EUV mask illumination slit.<sup id="cite_ref-239" class="reference"><a href="#cite_note-239"><span class="cite-bracket">&#91;</span>239<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Multilayer_reflectivity_random_variations">Multilayer reflectivity random variations</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=36" title="Edit section: Multilayer reflectivity random variations"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>GlobalFoundries and Lawrence Berkeley Labs carried out a Monte Carlo study to simulate the effects of intermixing between the molybdenum (Mo) and silicon (Si) layers in the multilayer that is used to reflect EUV light from the EUV mask.<sup id="cite_ref-ychen2017_240-0" class="reference"><a href="#cite_note-ychen2017-240"><span class="cite-bracket">&#91;</span>240<span class="cite-bracket">&#93;</span></a></sup> The results indicated high sensitivity to the atomic-scale variations of layer thickness. Such variations could not be detected by wide-area reflectivity measurements but would be significant on the scale of the critical dimension (CD).<sup id="cite_ref-ychen2017_240-1" class="reference"><a href="#cite_note-ychen2017-240"><span class="cite-bracket">&#91;</span>240<span class="cite-bracket">&#93;</span></a></sup> The local variation of reflectivity could be on the order of 10% for a few nm standard deviation.<sup id="cite_ref-241" class="reference"><a href="#cite_note-241"><span class="cite-bracket">&#91;</span>241<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Multilayer_damage">Multilayer damage</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=37" title="Edit section: Multilayer damage"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>Multiple EUV pulses at less than 10&#160;mJ/cm<sup>2</sup> could accumulate damage to a Ru-capped Mo/Si multilayer mirror optic element.<sup id="cite_ref-242" class="reference"><a href="#cite_note-242"><span class="cite-bracket">&#91;</span>242<span class="cite-bracket">&#93;</span></a></sup> The angle of incidence was 16° or 0.28 rads, which is within the range of angles for a 0.33 NA optical system. </p> <div class="mw-heading mw-heading3"><h3 id="Pellicles">Pellicles</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=38" title="Edit section: Pellicles"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>Production EUV tools need a pellicle to protect the mask from contamination. Pellicles are normally expected to protect the mask from particles during transport, entry into or exit from the exposure chamber, as well as the exposure itself. Without pellicles, particle adders would reduce yield, which has not been an issue for conventional optical lithography with 193&#160;nm light and pellicles. However, for EUV, the feasibility of pellicle use is severely challenged, due to the required thinness of the shielding films to prevent excessive EUV absorption. Particle contamination would be prohibitive if pellicles were not stable above 200&#160;W, i.e., the targeted power for manufacturing.<sup id="cite_ref-243" class="reference"><a href="#cite_note-243"><span class="cite-bracket">&#91;</span>243<span class="cite-bracket">&#93;</span></a></sup> </p><p>Heating of the EUV mask <a href="/wiki/Pellicle_mirror" title="Pellicle mirror">pellicle</a> (film temperature up to 750&#160;K for 80&#160;W incident power) is a significant concern, due to the resulting deformation and transmission decrease.<sup id="cite_ref-244" class="reference"><a href="#cite_note-244"><span class="cite-bracket">&#91;</span>244<span class="cite-bracket">&#93;</span></a></sup> <a href="/wiki/ASML_Holding" title="ASML Holding">ASML</a> developed a 70&#160;nm thick polysilicon pellicle membrane, which allows EUV transmission of 82%; however, less than half of the membranes survived expected EUV power levels.<sup id="cite_ref-245" class="reference"><a href="#cite_note-245"><span class="cite-bracket">&#91;</span>245<span class="cite-bracket">&#93;</span></a></sup> SiNx pellicle membranes also failed at 82&#160;W equivalent EUV source power levels.<sup id="cite_ref-246" class="reference"><a href="#cite_note-246"><span class="cite-bracket">&#91;</span>246<span class="cite-bracket">&#93;</span></a></sup> At target 250&#160;W levels, the pellicle is expected to reach 686 degrees Celsius,<sup id="cite_ref-247" class="reference"><a href="#cite_note-247"><span class="cite-bracket">&#91;</span>247<span class="cite-bracket">&#93;</span></a></sup> well over the melting point of aluminum. Alternative materials need to allow sufficient transmission as well as maintain mechanical and thermal stability. However, graphite, graphene or other carbon nanomaterials (nanosheets, nanotubes) are damaged by EUV due to the release of electrons<sup id="cite_ref-248" class="reference"><a href="#cite_note-248"><span class="cite-bracket">&#91;</span>248<span class="cite-bracket">&#93;</span></a></sup> and also too easily etched in the hydrogen cleaning plasma expected to be deployed in EUV scanners.<sup id="cite_ref-249" class="reference"><a href="#cite_note-249"><span class="cite-bracket">&#91;</span>249<span class="cite-bracket">&#93;</span></a></sup> Hydrogen plasmas can also etch silicon as well.<sup id="cite_ref-250" class="reference"><a href="#cite_note-250"><span class="cite-bracket">&#91;</span>250<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-251" class="reference"><a href="#cite_note-251"><span class="cite-bracket">&#91;</span>251<span class="cite-bracket">&#93;</span></a></sup> A coating helps improve hydrogen resistance, but this reduces transmission and/or emissivity, and may also affect mechanical stability (e.g., bulging).<sup id="cite_ref-252" class="reference"><a href="#cite_note-252"><span class="cite-bracket">&#91;</span>252<span class="cite-bracket">&#93;</span></a></sup> </p><p>Wrinkles on pellicles can cause CD nonuniformity due to uneven absorption; this is worse for smaller wrinkles and more coherent illumination, i.e., lower pupil fill.<sup id="cite_ref-253" class="reference"><a href="#cite_note-253"><span class="cite-bracket">&#91;</span>253<span class="cite-bracket">&#93;</span></a></sup> </p><p>In the absence of pellicles, EUV mask cleanliness would have to be checked before actual product wafers are exposed, using wafers specially prepared for defect inspection.<sup id="cite_ref-challenges_254-0" class="reference"><a href="#cite_note-challenges-254"><span class="cite-bracket">&#91;</span>254<span class="cite-bracket">&#93;</span></a></sup> These wafers are inspected after printing for repeating defects indicating a dirty mask; if any are found, the mask must be cleaned and another set of inspection wafers are exposed, repeating the flow until the mask is clean. Any affected product wafers must be reworked. </p><p>TSMC reported starting limited use of its own pellicle in 2019 and continuing to expand afterwards,<sup id="cite_ref-255" class="reference"><a href="#cite_note-255"><span class="cite-bracket">&#91;</span>255<span class="cite-bracket">&#93;</span></a></sup> and Samsung is planning pellicle introduction in 2022.<sup id="cite_ref-256" class="reference"><a href="#cite_note-256"><span class="cite-bracket">&#91;</span>256<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Hydrogen_bulging_defects">Hydrogen bulging defects</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=39" title="Edit section: Hydrogen bulging defects"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>As discussed above, with regard to contamination removal, hydrogen used in recent EUV systems can penetrate into the EUV mask layers. TSMC indicated in its patent that hydrogen would enter from the mask edge.<sup id="cite_ref-US12025922_257-0" class="reference"><a href="#cite_note-US12025922-257"><span class="cite-bracket">&#91;</span>257<span class="cite-bracket">&#93;</span></a></sup> Once trapped, bulge defects or blisters were produced,<sup id="cite_ref-bd_219-1" class="reference"><a href="#cite_note-bd-219"><span class="cite-bracket">&#91;</span>219<span class="cite-bracket">&#93;</span></a></sup> which could lead to film peeling.<sup id="cite_ref-US12025922_257-1" class="reference"><a href="#cite_note-US12025922-257"><span class="cite-bracket">&#91;</span>257<span class="cite-bracket">&#93;</span></a></sup> These are essentially the blister defects which arise after a sufficient number of EUV mask exposures in the hydrogen environment. </p> <div class="mw-heading mw-heading2"><h2 id="EUV_stochastic_issues">EUV stochastic issues</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=40" title="Edit section: EUV stochastic issues"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:64_nm_pitch_EUV_shot_noise.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/1/1f/64_nm_pitch_EUV_shot_noise.png" decoding="async" width="142" height="138" class="mw-file-element" data-file-width="142" data-file-height="138" /></a><figcaption><a href="/wiki/Shot_noise" title="Shot noise">Shot noise</a> causing significant CD variations</figcaption></figure> <p>EUV lithography is particularly sensitive to stochastic effects.<sup id="cite_ref-jm32017_258-0" class="reference"><a href="#cite_note-jm32017-258"><span class="cite-bracket">&#91;</span>258<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-259" class="reference"><a href="#cite_note-259"><span class="cite-bracket">&#91;</span>259<span class="cite-bracket">&#93;</span></a></sup> In a large population of features printed by EUV, although the overwhelming majority are resolved, some suffer complete failure to print, e.g. missing holes or bridging lines. A known significant contribution to this effect is the dose used to print.<sup id="cite_ref-105831K_260-0" class="reference"><a href="#cite_note-105831K-260"><span class="cite-bracket">&#91;</span>260<span class="cite-bracket">&#93;</span></a></sup> This is related to <a href="/wiki/Shot_noise" title="Shot noise">shot noise</a>, to be discussed further below. Due to the stochastic variations in arriving photon numbers, some areas designated to print actually fail to reach the threshold to print, leaving unexposed defect regions. Some areas may be overexposed, leading to excessive resist loss or crosslinking. The probability of stochastic failure increases exponentially as feature size decreases, and for the same feature size, increasing distance between features also significantly increases the probability.<sup id="cite_ref-105831K_260-1" class="reference"><a href="#cite_note-105831K-260"><span class="cite-bracket">&#91;</span>260<span class="cite-bracket">&#93;</span></a></sup> Line cuts which are misshapen are a significant issue due to potential arcing and shorting.<sup id="cite_ref-261" class="reference"><a href="#cite_note-261"><span class="cite-bracket">&#91;</span>261<span class="cite-bracket">&#93;</span></a></sup> Yield requires detection of stochastic failures down to below 1e-12.<sup id="cite_ref-105831K_260-2" class="reference"><a href="#cite_note-105831K-260"><span class="cite-bracket">&#91;</span>260<span class="cite-bracket">&#93;</span></a></sup> </p><p>The tendency to stochastic defects is worse from defocus over a large pupil fill.<sup id="cite_ref-262" class="reference"><a href="#cite_note-262"><span class="cite-bracket">&#91;</span>262<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-263" class="reference"><a href="#cite_note-263"><span class="cite-bracket">&#91;</span>263<span class="cite-bracket">&#93;</span></a></sup> </p> <figure class="mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:EUV_Stochastic_Hot_Spots.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/d/d3/EUV_Stochastic_Hot_Spots.png/150px-EUV_Stochastic_Hot_Spots.png" decoding="async" width="150" height="140" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/d/d3/EUV_Stochastic_Hot_Spots.png/225px-EUV_Stochastic_Hot_Spots.png 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/d/d3/EUV_Stochastic_Hot_Spots.png/300px-EUV_Stochastic_Hot_Spots.png 2x" data-file-width="309" data-file-height="289" /></a><figcaption>Stochastic defects tend to occur where there is stochastic absorbed dose variation near the threshold dose. These are indicated by where the blue specks tend to collect.</figcaption></figure> <p>Multiple failure modes may exist for the same population. For example, besides bridging of trenches, the lines separating the trenches may be broken.<sup id="cite_ref-105831K_260-3" class="reference"><a href="#cite_note-105831K-260"><span class="cite-bracket">&#91;</span>260<span class="cite-bracket">&#93;</span></a></sup> This can be attributed to stochastic resist loss,<sup id="cite_ref-jm32017_258-1" class="reference"><a href="#cite_note-jm32017-258"><span class="cite-bracket">&#91;</span>258<span class="cite-bracket">&#93;</span></a></sup> from secondary electrons.<sup id="cite_ref-narasimhan_264-0" class="reference"><a href="#cite_note-narasimhan-264"><span class="cite-bracket">&#91;</span>264<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-265" class="reference"><a href="#cite_note-265"><span class="cite-bracket">&#91;</span>265<span class="cite-bracket">&#93;</span></a></sup> The randomness of the number of secondary electrons is itself a source of stochastic behavior in EUV resist images. </p><p>The coexistence of stochastically underexposed and overexposed defect regions leads to a loss of dose window at a certain post-etch defect level between the low-dose and high-dose patterning cliffs.<sup id="cite_ref-266" class="reference"><a href="#cite_note-266"><span class="cite-bracket">&#91;</span>266<span class="cite-bracket">&#93;</span></a></sup> Hence, the resolution benefit from shorter wavelength is lost. </p><p>The resist underlayer also plays an important role.<sup id="cite_ref-105831K_260-4" class="reference"><a href="#cite_note-105831K-260"><span class="cite-bracket">&#91;</span>260<span class="cite-bracket">&#93;</span></a></sup> This could be due to the secondary electrons generated by the underlayer.<sup id="cite_ref-se_267-0" class="reference"><a href="#cite_note-se-267"><span class="cite-bracket">&#91;</span>267<span class="cite-bracket">&#93;</span></a></sup> Secondary electrons may remove over 10&#160;nm of resist from the exposed edge.<sup id="cite_ref-narasimhan_264-1" class="reference"><a href="#cite_note-narasimhan-264"><span class="cite-bracket">&#91;</span>264<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-bhattarai_268-0" class="reference"><a href="#cite_note-bhattarai-268"><span class="cite-bracket">&#91;</span>268<span class="cite-bracket">&#93;</span></a></sup> </p><p>The defect level is on the order of 1K/mm<sup>2</sup>.<sup id="cite_ref-269" class="reference"><a href="#cite_note-269"><span class="cite-bracket">&#91;</span>269<span class="cite-bracket">&#93;</span></a></sup> In 2020, Samsung reported that 5&#160;nm layouts had risks for process defects and had started implementing automated check and fixing.<sup id="cite_ref-270" class="reference"><a href="#cite_note-270"><span class="cite-bracket">&#91;</span>270<span class="cite-bracket">&#93;</span></a></sup> </p><p>Photon shot noise also leads to stochastic edge placement error.<sup id="cite_ref-271" class="reference"><a href="#cite_note-271"><span class="cite-bracket">&#91;</span>271<span class="cite-bracket">&#93;</span></a></sup> The photon shot noise is augmented to some degree by blurring factors such as secondary electrons or acids in chemically amplified resists; when significant the blur also reduces the image contrast at the edge. An edge placement error (EPE) as large as 8.8 nm was measured for a 48 nm pitch EUV-printed metal pattern.<sup id="cite_ref-272" class="reference"><a href="#cite_note-272"><span class="cite-bracket">&#91;</span>272<span class="cite-bracket">&#93;</span></a></sup> </p> <figure class="mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:Line_end_stochastic.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/2/2a/Line_end_stochastic.png/300px-Line_end_stochastic.png" decoding="async" width="300" height="190" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/2/2a/Line_end_stochastic.png/450px-Line_end_stochastic.png 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/2/2a/Line_end_stochastic.png/600px-Line_end_stochastic.png 2x" data-file-width="811" data-file-height="513" /></a><figcaption><b>Line end position affected by shot noise.</b> The natural variation of EUV photon number can cause the position of the line end to shift.</figcaption></figure> <p>With the natural <a href="/wiki/Poisson_distribution" title="Poisson distribution">Poisson distribution</a> due to the random arrival and absorption times of the photons,<sup id="cite_ref-sseuv_273-0" class="reference"><a href="#cite_note-sseuv-273"><span class="cite-bracket">&#91;</span>273<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-274" class="reference"><a href="#cite_note-274"><span class="cite-bracket">&#91;</span>274<span class="cite-bracket">&#93;</span></a></sup> there is an expected natural dose (photon number) variation of at least several percent 3 sigma, making the exposure process susceptible to stochastic variations. The dose variation leads to a variation of the feature edge position, effectively becoming a blur component. Unlike the hard resolution limit imposed by diffraction, shot noise imposes a softer limit, with the main guideline being the ITRS line width roughness (LWR) spec of 8% (3s) of linewidth.<sup id="cite_ref-275" class="reference"><a href="#cite_note-275"><span class="cite-bracket">&#91;</span>275<span class="cite-bracket">&#93;</span></a></sup> Increasing the dose will reduce the shot noise,<sup id="cite_ref-tsmcshot_276-0" class="reference"><a href="#cite_note-tsmcshot-276"><span class="cite-bracket">&#91;</span>276<span class="cite-bracket">&#93;</span></a></sup> but this also requires higher source power. </p><p>The two issues of shot noise and EUV-released electrons point out two constraining factors: 1) keeping dose high enough to reduce shot noise to tolerable levels, but also 2) avoiding too high a dose due to the increased contribution of EUV-released photoelectrons and secondary electrons to the resist exposure process, increasing the edge blur and thereby limiting the resolution. Aside from the resolution impact, higher dose also increases outgassing<sup id="cite_ref-277" class="reference"><a href="#cite_note-277"><span class="cite-bracket">&#91;</span>277<span class="cite-bracket">&#93;</span></a></sup> and limits throughput, and crosslinking<sup id="cite_ref-278" class="reference"><a href="#cite_note-278"><span class="cite-bracket">&#91;</span>278<span class="cite-bracket">&#93;</span></a></sup> occurs at very high dose levels. For chemically amplified resists, higher dose exposure also increases line edge roughness due to acid generator decomposition.<sup id="cite_ref-279" class="reference"><a href="#cite_note-279"><span class="cite-bracket">&#91;</span>279<span class="cite-bracket">&#93;</span></a></sup> </p><p>Even with higher absorption at the same dose, EUV has a larger shot noise concern than the ArF (193&#160;nm) wavelength, mainly because it is applied to thinner resists.<sup id="cite_ref-abs_280-0" class="reference"><a href="#cite_note-abs-280"><span class="cite-bracket">&#91;</span>280<span class="cite-bracket">&#93;</span></a></sup> </p><p>Due to stochastic considerations, the IRDS 2022 lithography roadmap now acknowledges increasing doses for smaller feature sizes.<sup id="cite_ref-281" class="reference"><a href="#cite_note-281"><span class="cite-bracket">&#91;</span>281<span class="cite-bracket">&#93;</span></a></sup> </p><p>EUV resolution will likely be compromised by stochastic effects. Stochastic defect densities have exceeded 1/cm<sup>2</sup>, at 36 nm pitch.<sup id="cite_ref-282" class="reference"><a href="#cite_note-282"><span class="cite-bracket">&#91;</span>282<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-283" class="reference"><a href="#cite_note-283"><span class="cite-bracket">&#91;</span>283<span class="cite-bracket">&#93;</span></a></sup> In 2024, an EUV resist exposure by ASML revealed a missing+bridging 32 nm pitch contact hole defect density floor &gt;0.25/cm<sup>2</sup> (177 defects per wafer), made worse with thinner resist.<sup id="cite_ref-284" class="reference"><a href="#cite_note-284"><span class="cite-bracket">&#91;</span>284<span class="cite-bracket">&#93;</span></a></sup> ASML indicated 30 nm pitch would not use direct exposure but double patterning.<sup id="cite_ref-rsocha_285-0" class="reference"><a href="#cite_note-rsocha-285"><span class="cite-bracket">&#91;</span>285<span class="cite-bracket">&#93;</span></a></sup> Intel did not use EUV for 30 nm pitch.<sup id="cite_ref-286" class="reference"><a href="#cite_note-286"><span class="cite-bracket">&#91;</span>286<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading3"><h3 id="Pupil_fill_ratio">Pupil fill ratio</h3><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=41" title="Edit section: Pupil fill ratio"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>For pitches less than half-wavelength divided by numerical aperture, dipole illumination is necessary. This illumination fills at most a leaf-shaped area at the edge of the pupil. However, due to 3D effects in the EUV mask,<sup id="cite_ref-287" class="reference"><a href="#cite_note-287"><span class="cite-bracket">&#91;</span>287<span class="cite-bracket">&#93;</span></a></sup> smaller pitches require even smaller portions of this leaf shape. Below 20% of the pupil, the throughput and dose stability begin to suffer.<sup id="cite_ref-auto1_54-3" class="reference"><a href="#cite_note-auto1-54"><span class="cite-bracket">&#91;</span>54<span class="cite-bracket">&#93;</span></a></sup> Higher numerical aperture allows a higher pupil fill to be used for the same pitch, but depth of focus is significantly reduced.<sup id="cite_ref-288" class="reference"><a href="#cite_note-288"><span class="cite-bracket">&#91;</span>288<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="Use_with_multiple-patterning">Use with multiple-patterning</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=42" title="Edit section: Use with multiple-patterning"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>EUV is anticipated to use double-patterning at around 34&#160;nm pitch with 0.33&#160;NA.<sup id="cite_ref-289" class="reference"><a href="#cite_note-289"><span class="cite-bracket">&#91;</span>289<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-290" class="reference"><a href="#cite_note-290"><span class="cite-bracket">&#91;</span>290<span class="cite-bracket">&#93;</span></a></sup> This resolution is equivalent to '1Y' for DRAM.<sup id="cite_ref-291" class="reference"><a href="#cite_note-291"><span class="cite-bracket">&#91;</span>291<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-292" class="reference"><a href="#cite_note-292"><span class="cite-bracket">&#91;</span>292<span class="cite-bracket">&#93;</span></a></sup> In 2020, ASML reported that 5&#160;nm M0 layer (30&#160;nm minimum pitch) required double-patterning.<sup id="cite_ref-rsocha_285-1" class="reference"><a href="#cite_note-rsocha-285"><span class="cite-bracket">&#91;</span>285<span class="cite-bracket">&#93;</span></a></sup> In H2 2018, TSMC confirmed that its 5&#160;nm EUV scheme still used <a href="/wiki/Multiple_patterning" title="Multiple patterning">multi-patterning</a>,<sup id="cite_ref-293" class="reference"><a href="#cite_note-293"><span class="cite-bracket">&#91;</span>293<span class="cite-bracket">&#93;</span></a></sup> also indicating that mask count did not decrease from its 7&#160;nm node, which used extensive DUV multi-patterning, to its 5&#160;nm node, which used extensive EUV.<sup id="cite_ref-294" class="reference"><a href="#cite_note-294"><span class="cite-bracket">&#91;</span>294<span class="cite-bracket">&#93;</span></a></sup> EDA vendors also indicated the continued use of multi-patterning flows.<sup id="cite_ref-295" class="reference"><a href="#cite_note-295"><span class="cite-bracket">&#91;</span>295<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-296" class="reference"><a href="#cite_note-296"><span class="cite-bracket">&#91;</span>296<span class="cite-bracket">&#93;</span></a></sup> While Samsung introduced its own 7&#160;nm process with EUV single-patterning,<sup id="cite_ref-297" class="reference"><a href="#cite_note-297"><span class="cite-bracket">&#91;</span>297<span class="cite-bracket">&#93;</span></a></sup> it encountered severe photon shot noise causing excessive line roughness, which required higher dose, resulting in lower throughput.<sup id="cite_ref-sseuv_273-1" class="reference"><a href="#cite_note-sseuv-273"><span class="cite-bracket">&#91;</span>273<span class="cite-bracket">&#93;</span></a></sup> TSMC's 5&#160;nm node uses even tighter design rules.<sup id="cite_ref-298" class="reference"><a href="#cite_note-298"><span class="cite-bracket">&#91;</span>298<span class="cite-bracket">&#93;</span></a></sup> Samsung indicated smaller dimensions would have more severe shot noise.<sup id="cite_ref-sseuv_273-2" class="reference"><a href="#cite_note-sseuv-273"><span class="cite-bracket">&#91;</span>273<span class="cite-bracket">&#93;</span></a></sup> </p> <figure class="mw-halign-left" typeof="mw:File/Thumb"><a href="/wiki/File:Via_Triple_Patterning_for_EUV.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/b/b9/Via_Triple_Patterning_for_EUV.png/100px-Via_Triple_Patterning_for_EUV.png" decoding="async" width="100" height="210" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/b/b9/Via_Triple_Patterning_for_EUV.png/150px-Via_Triple_Patterning_for_EUV.png 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/b/b9/Via_Triple_Patterning_for_EUV.png/200px-Via_Triple_Patterning_for_EUV.png 2x" data-file-width="211" data-file-height="444" /></a><figcaption>At 38&#160;nm center-to-center spacing or less, a 0.33 NA EUV tool would require double- or even triple-patterning for the contact or via layer.</figcaption></figure> <p>In Intel's complementary lithography scheme at 20&#160;nm half-pitch, EUV would be used only in a second line-cutting exposure after a first 193&#160;nm line-printing exposure.<sup id="cite_ref-299" class="reference"><a href="#cite_note-299"><span class="cite-bracket">&#91;</span>299<span class="cite-bracket">&#93;</span></a></sup> </p><p>Multiple exposures would also be expected where two or more patterns in the same layer, e.g., different pitches or widths, must use different optimized source pupil shapes.<sup id="cite_ref-auto3_300-0" class="reference"><a href="#cite_note-auto3-300"><span class="cite-bracket">&#91;</span>300<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-301" class="reference"><a href="#cite_note-301"><span class="cite-bracket">&#91;</span>301<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-302" class="reference"><a href="#cite_note-302"><span class="cite-bracket">&#91;</span>302<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-303" class="reference"><a href="#cite_note-303"><span class="cite-bracket">&#91;</span>303<span class="cite-bracket">&#93;</span></a></sup> For example, when considering a staggered bar array of 64&#160;nm vertical pitch, changing the horizontal pitch from 64&#160;nm to 90&#160;nm changes the optimized illumination significantly.<sup id="cite_ref-7nmt2t_55-1" class="reference"><a href="#cite_note-7nmt2t-55"><span class="cite-bracket">&#91;</span>55<span class="cite-bracket">&#93;</span></a></sup> Source-mask optimization that is based on line-space gratings and tip-to-tip gratings only does not entail improvements for all parts of a logic pattern, e.g., a dense trench with a gap on one side.<sup id="cite_ref-auto3_300-1" class="reference"><a href="#cite_note-auto3-300"><span class="cite-bracket">&#91;</span>300<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-304" class="reference"><a href="#cite_note-304"><span class="cite-bracket">&#91;</span>304<span class="cite-bracket">&#93;</span></a></sup> </p><p>In 2020, <a href="/wiki/ASML_Holding" title="ASML Holding">ASML</a> reported that for the 3&#160;nm node, center-to-center contact/via spacings of 40&#160;nm or less would require double- or triple-patterning for some contact/via arrangements.<sup id="cite_ref-305" class="reference"><a href="#cite_note-305"><span class="cite-bracket">&#91;</span>305<span class="cite-bracket">&#93;</span></a></sup> </p><p>For the 24–36&#160;nm metal pitch, it was found that using EUV as a (second) cutting exposure had a significantly wider process window than as a complete single exposure for the metal layer.<sup id="cite_ref-306" class="reference"><a href="#cite_note-306"><span class="cite-bracket">&#91;</span>306<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-auto3_300-2" class="reference"><a href="#cite_note-auto3-300"><span class="cite-bracket">&#91;</span>300<span class="cite-bracket">&#93;</span></a></sup> </p><p>Multiple exposures of the same mask are also expected for defect management without pellicles, limiting productivity similarly to multiple-patterning.<sup id="cite_ref-challenges_254-1" class="reference"><a href="#cite_note-challenges-254"><span class="cite-bracket">&#91;</span>254<span class="cite-bracket">&#93;</span></a></sup> </p><p>Self-aligned litho-etch-litho-etch (SALELE) is a hybrid SADP/LELE technique whose implementation has started in 7&#160;nm.<sup id="cite_ref-307" class="reference"><a href="#cite_note-307"><span class="cite-bracket">&#91;</span>307<span class="cite-bracket">&#93;</span></a></sup> Self-aligned litho-etch-litho-etch (SALELE) has become an accepted form of double-patterning to be used with EUV.<sup id="cite_ref-308" class="reference"><a href="#cite_note-308"><span class="cite-bracket">&#91;</span>308<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="Single-patterning_extension:_anamorphic_high-NA">Single-patterning extension: anamorphic high-NA</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=43" title="Edit section: Single-patterning extension: anamorphic high-NA"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <figure class="mw-halign-right" typeof="mw:File/Thumb"><a href="/wiki/File:EUV_High-NA_stochastic_sidelobes.png" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/d/d3/EUV_High-NA_stochastic_sidelobes.png/300px-EUV_High-NA_stochastic_sidelobes.png" decoding="async" width="300" height="181" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/d/d3/EUV_High-NA_stochastic_sidelobes.png/450px-EUV_High-NA_stochastic_sidelobes.png 1.5x, //upload.wikimedia.org/wikipedia/commons/d/d3/EUV_High-NA_stochastic_sidelobes.png 2x" data-file-width="480" data-file-height="289" /></a><figcaption>Sidelobes are a greater risk with stochastic variations, at a certain center-to-center distance. This risk is elevated due to the central obscuration in High-NA EUV systems.</figcaption></figure> <p>A return to extended generations of single-patterning would be possible with higher <a href="/wiki/Numerical_aperture" title="Numerical aperture">numerical aperture</a> (NA) tools. An NA of 0.45 could require retuning of a few percent.<sup id="cite_ref-309" class="reference"><a href="#cite_note-309"><span class="cite-bracket">&#91;</span>309<span class="cite-bracket">&#93;</span></a></sup> Increasing demagnification could avoid this retuning, but the reduced field size severely affects large patterns (one die per 26&#160;mm × 33&#160;mm field) such as the many-core multi-billion transistor 14&#160;nm Xeon chips.<sup id="cite_ref-310" class="reference"><a href="#cite_note-310"><span class="cite-bracket">&#91;</span>310<span class="cite-bracket">&#93;</span></a></sup> by requiring field stitching of two mask exposures. </p><p>In 2015, <a href="/wiki/ASML_Holding" title="ASML Holding">ASML</a> disclosed details of its anamorphic next-generation EUV scanner, with an NA of 0.55. These machines cost around USD 360 million.<sup id="cite_ref-auto5_33-1" class="reference"><a href="#cite_note-auto5-33"><span class="cite-bracket">&#91;</span>33<span class="cite-bracket">&#93;</span></a></sup> The demagnification is increased from 4× to 8× only in one direction (in the plane of incidence).<sup id="cite_ref-highNA_311-0" class="reference"><a href="#cite_note-highNA-311"><span class="cite-bracket">&#91;</span>311<span class="cite-bracket">&#93;</span></a></sup> However, the 0.55 NA has a much smaller depth of focus than immersion lithography.<sup id="cite_ref-312" class="reference"><a href="#cite_note-312"><span class="cite-bracket">&#91;</span>312<span class="cite-bracket">&#93;</span></a></sup> Also, an anamorphic 0.52 NA tool has been found to exhibit too much CD and placement variability for 5&#160;nm node single exposure and multi-patterning cutting.<sup id="cite_ref-313" class="reference"><a href="#cite_note-313"><span class="cite-bracket">&#91;</span>313<span class="cite-bracket">&#93;</span></a></sup> </p><p>Depth of focus<sup id="cite_ref-dof_314-0" class="reference"><a href="#cite_note-dof-314"><span class="cite-bracket">&#91;</span>314<span class="cite-bracket">&#93;</span></a></sup> being reduced by increasing NA is also a concern,<sup id="cite_ref-315" class="reference"><a href="#cite_note-315"><span class="cite-bracket">&#91;</span>315<span class="cite-bracket">&#93;</span></a></sup> especially in comparison with multi-patterning exposures using 193&#160;nm immersion lithography: </p> <table class="wikitable" style="text-align:center;"> <tbody><tr> <th>Wavelength </th> <th>Refractive index </th> <th>NA </th> <th>DOF (normalized)<sup id="cite_ref-dof_314-1" class="reference"><a href="#cite_note-dof-314"><span class="cite-bracket">&#91;</span>314<span class="cite-bracket">&#93;</span></a></sup> </th></tr> <tr> <td>193&#160;nm </td> <td>1.44 </td> <td>1.35 </td> <td>1 </td></tr> <tr> <td>13.3–13.7&#160;nm </td> <td>1 </td> <td>0.33 </td> <td>1.17 </td></tr> <tr> <td>13.3–13.7&#160;nm </td> <td>1 </td> <td>0.55 </td> <td>0.40 </td></tr></tbody></table> <p>High-NA EUV tools focus horizontal and vertical lines differently from low-NA systems, due to the different demagnfication for horizontal lines.<sup id="cite_ref-316" class="reference"><a href="#cite_note-316"><span class="cite-bracket">&#91;</span>316<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-317" class="reference"><a href="#cite_note-317"><span class="cite-bracket">&#91;</span>317<span class="cite-bracket">&#93;</span></a></sup> </p><p>High-NA EUV tools also suffer from obscuration, which can cause errors in the imaging of certain patterns.<sup id="cite_ref-318" class="reference"><a href="#cite_note-318"><span class="cite-bracket">&#91;</span>318<span class="cite-bracket">&#93;</span></a></sup> </p><p>The first high-NA tools are expected at Intel by 2025 at earliest.<sup id="cite_ref-319" class="reference"><a href="#cite_note-319"><span class="cite-bracket">&#91;</span>319<span class="cite-bracket">&#93;</span></a></sup> </p><p>For sub-2nm nodes, high-NA EUV systems will be affected by a host of issues: throughput, new masks, polarization, thinner resists, and secondary electron blur and randomness.<sup id="cite_ref-320" class="reference"><a href="#cite_note-320"><span class="cite-bracket">&#91;</span>320<span class="cite-bracket">&#93;</span></a></sup> Reduced depth of focus requires resist thickness less than 30 nm, which in turn increases stochastic effects, due to reduced photon absorption. </p><p>Electron blur is estimated to be at least ~2 nm, which is enough to thwart the benefit of High-NA EUV lithography.<sup id="cite_ref-321" class="reference"><a href="#cite_note-321"><span class="cite-bracket">&#91;</span>321<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-322" class="reference"><a href="#cite_note-322"><span class="cite-bracket">&#91;</span>322<span class="cite-bracket">&#93;</span></a></sup> </p><p>Beyond high-NA, ASML in 2024 announced plans for the development of a hyper-NA EUV tool with an NA beyond 0.55, such as an NA of 0.75 or 0.85.<sup id="cite_ref-auto6_323-0" class="reference"><a href="#cite_note-auto6-323"><span class="cite-bracket">&#91;</span>323<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-324" class="reference"><a href="#cite_note-324"><span class="cite-bracket">&#91;</span>324<span class="cite-bracket">&#93;</span></a></sup> These machines could cost USD 720 million each and are expected to be available in 2030.<sup id="cite_ref-auto5_33-2" class="reference"><a href="#cite_note-auto5-33"><span class="cite-bracket">&#91;</span>33<span class="cite-bracket">&#93;</span></a></sup> A problem with Hyper-NA is polarization of the EUV light causing a reduction in image contrast.<sup id="cite_ref-auto6_323-1" class="reference"><a href="#cite_note-auto6-323"><span class="cite-bracket">&#91;</span>323<span class="cite-bracket">&#93;</span></a></sup><sup id="cite_ref-325" class="reference"><a href="#cite_note-325"><span class="cite-bracket">&#91;</span>325<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="Beyond_EUV_wavelength">Beyond EUV wavelength</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=44" title="Edit section: Beyond EUV wavelength"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <p>A much shorter wavelength (~6.7&#160;nm) would be beyond EUV, and is often referred to as BEUV (beyond extreme ultraviolet).<sup id="cite_ref-326" class="reference"><a href="#cite_note-326"><span class="cite-bracket">&#91;</span>326<span class="cite-bracket">&#93;</span></a></sup> With current technology, BEUV wavelengths would have worse shot noise effects without ensuring sufficient dose.<sup id="cite_ref-327" class="reference"><a href="#cite_note-327"><span class="cite-bracket">&#91;</span>327<span class="cite-bracket">&#93;</span></a></sup> </p> <div class="mw-heading mw-heading2"><h2 id="References">References</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=45" title="Edit section: References"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <style data-mw-deduplicate="TemplateStyles:r1239543626">.mw-parser-output .reflist{margin-bottom:0.5em;list-style-type:decimal}@media screen{.mw-parser-output .reflist{font-size:90%}}.mw-parser-output .reflist .references{font-size:100%;margin-bottom:0;list-style-type:inherit}.mw-parser-output .reflist-columns-2{column-width:30em}.mw-parser-output .reflist-columns-3{column-width:25em}.mw-parser-output .reflist-columns{margin-top:0.3em}.mw-parser-output .reflist-columns ol{margin-top:0}.mw-parser-output .reflist-columns li{page-break-inside:avoid;break-inside:avoid-column}.mw-parser-output .reflist-upper-alpha{list-style-type:upper-alpha}.mw-parser-output .reflist-upper-roman{list-style-type:upper-roman}.mw-parser-output .reflist-lower-alpha{list-style-type:lower-alpha}.mw-parser-output .reflist-lower-greek{list-style-type:lower-greek}.mw-parser-output .reflist-lower-roman{list-style-type:lower-roman}</style><div class="reflist reflist-columns references-column-width" style="column-width: 30em;"> <ol class="references"> <li id="cite_note-1"><span class="mw-cite-backlink"><b><a href="#cite_ref-1">^</a></b></span> <span class="reference-text"><style data-mw-deduplicate="TemplateStyles:r1238218222">.mw-parser-output cite.citation{font-style:inherit;word-wrap:break-word}.mw-parser-output .citation q{quotes:"\"""\"""'""'"}.mw-parser-output .citation:target{background-color:rgba(0,127,255,0.133)}.mw-parser-output .id-lock-free.id-lock-free a{background:url("//upload.wikimedia.org/wikipedia/commons/6/65/Lock-green.svg")right 0.1em center/9px no-repeat}.mw-parser-output .id-lock-limited.id-lock-limited a,.mw-parser-output .id-lock-registration.id-lock-registration a{background:url("//upload.wikimedia.org/wikipedia/commons/d/d6/Lock-gray-alt-2.svg")right 0.1em center/9px no-repeat}.mw-parser-output .id-lock-subscription.id-lock-subscription a{background:url("//upload.wikimedia.org/wikipedia/commons/a/aa/Lock-red-alt-2.svg")right 0.1em center/9px no-repeat}.mw-parser-output .cs1-ws-icon a{background:url("//upload.wikimedia.org/wikipedia/commons/4/4c/Wikisource-logo.svg")right 0.1em center/12px no-repeat}body:not(.skin-timeless):not(.skin-minerva) .mw-parser-output .id-lock-free a,body:not(.skin-timeless):not(.skin-minerva) .mw-parser-output .id-lock-limited a,body:not(.skin-timeless):not(.skin-minerva) .mw-parser-output .id-lock-registration a,body:not(.skin-timeless):not(.skin-minerva) .mw-parser-output .id-lock-subscription a,body:not(.skin-timeless):not(.skin-minerva) .mw-parser-output .cs1-ws-icon a{background-size:contain;padding:0 1em 0 0}.mw-parser-output .cs1-code{color:inherit;background:inherit;border:none;padding:inherit}.mw-parser-output .cs1-hidden-error{display:none;color:var(--color-error,#d33)}.mw-parser-output .cs1-visible-error{color:var(--color-error,#d33)}.mw-parser-output .cs1-maint{display:none;color:#085;margin-left:0.3em}.mw-parser-output .cs1-kern-left{padding-left:0.2em}.mw-parser-output .cs1-kern-right{padding-right:0.2em}.mw-parser-output .citation .mw-selflink{font-weight:inherit}@media screen{.mw-parser-output .cs1-format{font-size:95%}html.skin-theme-clientpref-night .mw-parser-output .cs1-maint{color:#18911f}}@media screen and (prefers-color-scheme:dark){html.skin-theme-clientpref-os .mw-parser-output .cs1-maint{color:#18911f}}</style><cite id="CITEREFO&#39;SullivanLiD&#39;ArcyDunne2015" class="citation journal cs1">O'Sullivan, Gerry; Li, Bowen; D'Arcy, Rebekah; Dunne, Padraig; Hayden, Paddy; Kilbane, Deirdre; McCormack, Tom; Ohashi, Hayato; O'Reilly, Fergal; Sheridan, Paul; Sokell, Emma; Suzuki, Chihiro; Higashiguchi, Takeshi (2015). <a rel="nofollow" class="external text" href="https://iopscience.iop.org/article/10.1088/0953-4075/48/14/144025">"Spectroscopy of highly charged ions and its relevance to EUV and soft x-ray source development"</a>. <i>Journal of Physics B: Atomic, Molecular and Optical Physics</i>. <b>48</b> (144025): 144025. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2015JPhB...48n4025O">2015JPhB...48n4025O</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1088%2F0953-4075%2F48%2F14%2F144025">10.1088/0953-4075/48/14/144025</a>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:124221931">124221931</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Journal+of+Physics+B%3A+Atomic%2C+Molecular+and+Optical+Physics&amp;rft.atitle=Spectroscopy+of+highly+charged+ions+and+its+relevance+to+EUV+and+soft+x-ray+source+development&amp;rft.volume=48&amp;rft.issue=144025&amp;rft.pages=144025&amp;rft.date=2015&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A124221931%23id-name%3DS2CID&amp;rft_id=info%3Adoi%2F10.1088%2F0953-4075%2F48%2F14%2F144025&amp;rft_id=info%3Abibcode%2F2015JPhB...48n4025O&amp;rft.aulast=O%27Sullivan&amp;rft.aufirst=Gerry&amp;rft.au=Li%2C+Bowen&amp;rft.au=D%27Arcy%2C+Rebekah&amp;rft.au=Dunne%2C+Padraig&amp;rft.au=Hayden%2C+Paddy&amp;rft.au=Kilbane%2C+Deirdre&amp;rft.au=McCormack%2C+Tom&amp;rft.au=Ohashi%2C+Hayato&amp;rft.au=O%27Reilly%2C+Fergal&amp;rft.au=Sheridan%2C+Paul&amp;rft.au=Sokell%2C+Emma&amp;rft.au=Suzuki%2C+Chihiro&amp;rft.au=Higashiguchi%2C+Takeshi&amp;rft_id=https%3A%2F%2Fiopscience.iop.org%2Farticle%2F10.1088%2F0953-4075%2F48%2F14%2F144025&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-2"><span class="mw-cite-backlink"><b><a href="#cite_ref-2">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFBjorkholmBokorEichnerFreeman1991" class="citation journal cs1">Bjorkholm, J.; Bokor, J.; Eichner, L.; Freeman, R.; Mansfield, W.; Szeto, L.; Taylor, D.; Tennant, D.; Wood II, O.; Jewell, T.; White, D.; Waskiewicz, W.; Windt, D.; MacDowell, A. (1991). <a rel="nofollow" class="external text" href="https://doi.org/10.1364/OPN.2.5.000027">"Soft x-ray projection lithography"</a>. <i>Optics and Photonics News</i>. <b>2</b> (5): 27. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1364%2FOPN.2.5.000027">10.1364/OPN.2.5.000027</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Optics+and+Photonics+News&amp;rft.atitle=Soft+x-ray+projection+lithography&amp;rft.volume=2&amp;rft.issue=5&amp;rft.pages=27&amp;rft.date=1991&amp;rft_id=info%3Adoi%2F10.1364%2FOPN.2.5.000027&amp;rft.aulast=Bjorkholm&amp;rft.aufirst=J.&amp;rft.au=Bokor%2C+J.&amp;rft.au=Eichner%2C+L.&amp;rft.au=Freeman%2C+R.&amp;rft.au=Mansfield%2C+W.&amp;rft.au=Szeto%2C+L.&amp;rft.au=Taylor%2C+D.&amp;rft.au=Tennant%2C+D.&amp;rft.au=Wood+II%2C+O.&amp;rft.au=Jewell%2C+T.&amp;rft.au=White%2C+D.&amp;rft.au=Waskiewicz%2C+W.&amp;rft.au=Windt%2C+D.&amp;rft.au=MacDowell%2C+A.&amp;rft_id=https%3A%2F%2Fdoi.org%2F10.1364%2FOPN.2.5.000027&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-3"><span class="mw-cite-backlink"><b><a href="#cite_ref-3">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.asml.com/en/news/stories/2022/making-euv-lab-to-fab">"Making EUV: From lab to fab"</a>. 30 March 2022.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Making+EUV%3A+From+lab+to+fab&amp;rft.date=2022-03-30&amp;rft_id=https%3A%2F%2Fwww.asml.com%2Fen%2Fnews%2Fstories%2F2022%2Fmaking-euv-lab-to-fab&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-4"><span class="mw-cite-backlink"><b><a href="#cite_ref-4">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFBakshi2018" class="citation book cs1">Bakshi, Vivek, ed. (2018). <i>EUV lithography</i>. SPIE PM (Second&#160;ed.). Bellingham, Washington, USA: SPIE Press. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/978-1-5106-1679-0" title="Special:BookSources/978-1-5106-1679-0"><bdi>978-1-5106-1679-0</bdi></a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=book&amp;rft.btitle=EUV+lithography&amp;rft.place=Bellingham%2C+Washington%2C+USA&amp;rft.series=SPIE+PM&amp;rft.edition=Second&amp;rft.pub=SPIE+Press&amp;rft.date=2018&amp;rft.isbn=978-1-5106-1679-0&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-5"><span class="mw-cite-backlink"><b><a href="#cite_ref-5">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation news cs1"><a rel="nofollow" class="external text" href="https://www.eetimes.com/u-s-gives-ok-to-asml-on-euv-effort/">"U.S. gives ok to ASML on EUV effort"</a>. <i>EE Times</i>. 24 February 1999<span class="reference-accessdate">. Retrieved <span class="nowrap">17 May</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=EE+Times&amp;rft.atitle=U.S.+gives+ok+to+ASML+on+EUV+effort&amp;rft.date=1999-02-24&amp;rft_id=https%3A%2F%2Fwww.eetimes.com%2Fu-s-gives-ok-to-asml-on-euv-effort%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-6"><span class="mw-cite-backlink"><b><a href="#cite_ref-6">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.asml.com/en/company/about-asml/history">"History"</a>. <i>ASML</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=ASML&amp;rft.atitle=History&amp;rft_id=https%3A%2F%2Fwww.asml.com%2Fen%2Fcompany%2Fabout-asml%2Fhistory&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-7"><span class="mw-cite-backlink"><b><a href="#cite_ref-7">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.technologyreview.com/2021/10/27/1037118/moores-law-computer-chips/">"Inside the machine that saved Moore's Law"</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Inside+the+machine+that+saved+Moore%27s+Law&amp;rft_id=https%3A%2F%2Fwww.technologyreview.com%2F2021%2F10%2F27%2F1037118%2Fmoores-law-computer-chips%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-8"><span class="mw-cite-backlink"><b><a href="#cite_ref-8">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFSascha_Migura2018" class="citation web cs1">Sascha Migura (2018). <a rel="nofollow" class="external text" href="https://www.euvlitho.com/2018/P22.pdf">"Optics for EUV Lithography"</a> <span class="cs1-format">(PDF)</span>. <i>euvlitho.com</i><span class="reference-accessdate">. Retrieved <span class="nowrap">17 May</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=euvlitho.com&amp;rft.atitle=Optics+for+EUV+Lithography&amp;rft.date=2018&amp;rft.au=Sascha+Migura&amp;rft_id=https%3A%2F%2Fwww.euvlitho.com%2F2018%2FP22.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-9"><span class="mw-cite-backlink"><b><a href="#cite_ref-9">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.thewirechina.com/2021/02/07/the-chip-chokepoint/">"The Chip Choke Point TheWire China"</a>. 8 February 2021.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=The+Chip+Choke+Point+TheWire+China&amp;rft.date=2021-02-08&amp;rft_id=https%3A%2F%2Fwww.thewirechina.com%2F2021%2F02%2F07%2Fthe-chip-chokepoint%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-10"><span class="mw-cite-backlink"><b><a href="#cite_ref-10">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.pragmamarketresearch.com/reports/121334/extreme-ultraviolet-euv-lithography-market-size">"Extreme Ultraviolet (EUV) Lithography Market Size and Forecast to 2032"</a>. <i>PragmaMarketResearch</i><span class="reference-accessdate">. Retrieved <span class="nowrap">2024-07-04</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=PragmaMarketResearch&amp;rft.atitle=Extreme+Ultraviolet+%28EUV%29+Lithography+Market+Size+and+Forecast+to+2032&amp;rft_id=https%3A%2F%2Fwww.pragmamarketresearch.com%2Freports%2F121334%2Fextreme-ultraviolet-euv-lithography-market-size&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-11"><span class="mw-cite-backlink"><b><a href="#cite_ref-11">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFAnton_Shilov2019" class="citation web cs1">Anton Shilov (2019-01-28). <a rel="nofollow" class="external text" href="https://www.anandtech.com/show/13904/asml-to-ship-30-euv-scanners-in-2019">"ASML to Ship 30 EUV Scanners in 2019: Faster EUV Tools Coming"</a>. <i>AnandTech</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=AnandTech&amp;rft.atitle=ASML+to+Ship+30+EUV+Scanners+in+2019%3A+Faster+EUV+Tools+Coming&amp;rft.date=2019-01-28&amp;rft.au=Anton+Shilov&amp;rft_id=https%3A%2F%2Fwww.anandtech.com%2Fshow%2F13904%2Fasml-to-ship-30-euv-scanners-in-2019&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-12"><span class="mw-cite-backlink"><b><a href="#cite_ref-12">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20170602020548/https://www.nist.gov/sites/default/files/documents/pml/div683/conference/Rice_2011.pdf">"Overview of EUV Mask Metrology"</a> <span class="cs1-format">(PDF)</span>. Archived from <a rel="nofollow" class="external text" href="https://www.nist.gov/sites/default/files/documents/pml/div683/conference/Rice_2011.pdf">the original</a> <span class="cs1-format">(PDF)</span> on 2017-06-02<span class="reference-accessdate">. Retrieved <span class="nowrap">2019-06-23</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Overview+of+EUV+Mask+Metrology&amp;rft_id=https%3A%2F%2Fwww.nist.gov%2Fsites%2Fdefault%2Ffiles%2Fdocuments%2Fpml%2Fdiv683%2Fconference%2FRice_2011.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-auto4-13"><span class="mw-cite-backlink">^ <a href="#cite_ref-auto4_13-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-auto4_13-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-auto4_13-2"><sup><i><b>c</b></i></sup></a> <a href="#cite_ref-auto4_13-3"><sup><i><b>d</b></i></sup></a></span> <span class="reference-text"><a rel="nofollow" class="external free" href="https://www.semiconductor-digest.com/wp-content/uploads/2020/02/SST-Oct-2013.pdf">https://www.semiconductor-digest.com/wp-content/uploads/2020/02/SST-Oct-2013.pdf</a> <sup class="noprint Inline-Template" style="white-space:nowrap;">&#91;<i><a href="/wiki/Wikipedia:Bare_URLs" title="Wikipedia:Bare URLs"><span title="A full citation of this PDF document is required to prevent link rot. (August 2024)">bare URL PDF</span></a></i>&#93;</sup></span> </li> <li id="cite_note-14"><span class="mw-cite-backlink"><b><a href="#cite_ref-14">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFMontcalm1998" class="citation conference cs1">Montcalm, C. (March 10, 1998). <i>Multilayer reflective coatings for extreme-ultraviolet lithography</i>. 23. SPIE annual international symposium on microlithography conference, Santa Clara, CA (United States), 22-27 Feb 1998. <a href="/wiki/OSTI_(identifier)" class="mw-redirect" title="OSTI (identifier)">OSTI</a>&#160;<a rel="nofollow" class="external text" href="https://www.osti.gov/biblio/310916">310916</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=conference&amp;rft.btitle=Multilayer+reflective+coatings+for+extreme-ultraviolet+lithography&amp;rft.date=1998-03-10&amp;rft_id=https%3A%2F%2Fwww.osti.gov%2Fbiblio%2F310916%23id-name%3DOSTI&amp;rft.aulast=Montcalm&amp;rft.aufirst=C.&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-15"><span class="mw-cite-backlink"><b><a href="#cite_ref-15">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFKromeSchmidtNesládek2018" class="citation book cs1">Krome, Thorsten; Schmidt, Jonas; Nesládek, Pavel (2018). <a rel="nofollow" class="external text" href="https://www.spiedigitallibrary.org/conference-proceedings-of-spie/10807/108070E/EUV-capping-layer-integrity/10.1117/12.2324670.short?SSO=1#:~:text=The%20mask%20stack%2C%20especially%20the,manufacturing%20processes%20and%20its%20use.">"EUV capping layer integrity"</a>. In Takehisa, Kiwamu (ed.). <i>Photomask Japan 2018: XXV Symposium on Photomask and Next-Generation Lithography Mask Technology</i>. p.&#160;8. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1117%2F12.2324670">10.1117/12.2324670</a>. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/978-1-5106-2201-2" title="Special:BookSources/978-1-5106-2201-2"><bdi>978-1-5106-2201-2</bdi></a>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:139227547">139227547</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=bookitem&amp;rft.atitle=EUV+capping+layer+integrity&amp;rft.btitle=Photomask+Japan+2018%3A+XXV+Symposium+on+Photomask+and+Next-Generation+Lithography+Mask+Technology&amp;rft.pages=8&amp;rft.date=2018&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A139227547%23id-name%3DS2CID&amp;rft_id=info%3Adoi%2F10.1117%2F12.2324670&amp;rft.isbn=978-1-5106-2201-2&amp;rft.aulast=Krome&amp;rft.aufirst=Thorsten&amp;rft.au=Schmidt%2C+Jonas&amp;rft.au=Nesl%C3%A1dek%2C+Pavel&amp;rft_id=https%3A%2F%2Fwww.spiedigitallibrary.org%2Fconference-proceedings-of-spie%2F10807%2F108070E%2FEUV-capping-layer-integrity%2F10.1117%2F12.2324670.short%3FSSO%3D1%23%3A~%3Atext%3DThe%2520mask%2520stack%252C%2520especially%2520the%2Cmanufacturing%2520processes%2520and%2520its%2520use.&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-16"><span class="mw-cite-backlink"><b><a href="#cite_ref-16">^</a></b></span> <span class="reference-text"><style data-mw-deduplicate="TemplateStyles:r1041539562">.mw-parser-output .citation{word-wrap:break-word}.mw-parser-output .citation:target{background-color:rgba(0,127,255,0.133)}</style><span class="citation patent" id="CITEREFSasa_BajtJames_FoltaEberhard_Spiller2003"><a rel="nofollow" class="external text" href="https://patents.google.com/patent/US20030008180">US 20030008180A1</a>,&#32;Sasa Bajt; James Folta&#32;&amp; Eberhard Spiller,&#32;"Optimized capping layers for EUV multilayers",&#32;published 2003-01-09</span><span class="Z3988" title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Apatent&amp;rft.number=20030008180A1&amp;rft.cc=US&amp;rft.title=Optimized+capping+layers+for+EUV+multilayers&amp;rft.inventor=Sasa+Bajt&amp;rft.appldate=2002-02-01&amp;rft.pubdate=2003-01-09&amp;rft.prioritydate=2001-07-03"><span style="display: none;">&#160;</span></span>.</span> </li> <li id="cite_note-17"><span class="mw-cite-backlink"><b><a href="#cite_ref-17">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://semiengineering.com/next-euv-issue-mask-3d-effects/">"Next EUV Issue: Mask 3D Effects"</a>. <i>Semiconductor Engineering</i>. April 25, 2018.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Semiconductor+Engineering&amp;rft.atitle=Next+EUV+Issue%3A+Mask+3D+Effects&amp;rft.date=2018-04-25&amp;rft_id=https%3A%2F%2Fsemiengineering.com%2Fnext-euv-issue-mask-3d-effects%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-18"><span class="mw-cite-backlink"><b><a href="#cite_ref-18">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://semiengineering.com/euv-mask-blank-biz-heats-up/">"EUV Mask Blank Battle Brewing"</a>. <i>Semiconductor Engineering</i>. November 15, 2018.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Semiconductor+Engineering&amp;rft.atitle=EUV+Mask+Blank+Battle+Brewing&amp;rft.date=2018-11-15&amp;rft_id=https%3A%2F%2Fsemiengineering.com%2Feuv-mask-blank-biz-heats-up%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-19"><span class="mw-cite-backlink"><b><a href="#cite_ref-19">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFMatsumotoYamaguchiKimuraNakayamada2021" class="citation book cs1">Matsumoto, Hiroshi; Yamaguchi, Keisuke; Kimura, Hayato; Nakayamada, Noriaki (August 23, 2021). <a rel="nofollow" class="external text" href="https://www.spiedigitallibrary.org/conference-proceedings-of-spie/11908/119080L/Multi-beam-mask-writer-MBM-2000/10.1117/12.2604378.full">"Multi-beam mask writer, MBM-2000"</a>. In Ando, Akihiko (ed.). <i>Photomask Japan 2021: XXVII Symposium on Photomask and Next-Generation Lithography Mask Technology</i>. Vol.&#160;11908. SPIE. pp.&#160;175–180. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1117%2F12.2604378">10.1117/12.2604378</a>. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/9781510646858" title="Special:BookSources/9781510646858"><bdi>9781510646858</bdi></a>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:233503067">233503067</a> &#8211; via www.spiedigitallibrary.org.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=bookitem&amp;rft.atitle=Multi-beam+mask+writer%2C+MBM-2000&amp;rft.btitle=Photomask+Japan+2021%3A+XXVII+Symposium+on+Photomask+and+Next-Generation+Lithography+Mask+Technology&amp;rft.pages=175-180&amp;rft.pub=SPIE&amp;rft.date=2021-08-23&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A233503067%23id-name%3DS2CID&amp;rft_id=info%3Adoi%2F10.1117%2F12.2604378&amp;rft.isbn=9781510646858&amp;rft.aulast=Matsumoto&amp;rft.aufirst=Hiroshi&amp;rft.au=Yamaguchi%2C+Keisuke&amp;rft.au=Kimura%2C+Hayato&amp;rft.au=Nakayamada%2C+Noriaki&amp;rft_id=https%3A%2F%2Fwww.spiedigitallibrary.org%2Fconference-proceedings-of-spie%2F11908%2F119080L%2FMulti-beam-mask-writer-MBM-2000%2F10.1117%2F12.2604378.full&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-20"><span class="mw-cite-backlink"><b><a href="#cite_ref-20">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFWaiblingerKornilovHofmannEdinger2010" class="citation book cs1">Waiblinger, M.; Kornilov, K.; Hofmann, T.; Edinger, K. (May 15, 2010). <a rel="nofollow" class="external text" href="https://www.spiedigitallibrary.org/conference-proceedings-of-spie/7545/75450P/e-beam-induced-EUV-photomask-repair-a-perfect-match/10.1117/12.863542.full">"E-beam induced EUV photomask repair: A perfect match"</a>. In Behringer, Uwe F. W.; Maurer, Wilhelm (eds.). <i>26th European Mask and Lithography Conference</i>. Vol.&#160;7545. SPIE. pp.&#160;243–250. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1117%2F12.863542">10.1117/12.863542</a>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:137488152">137488152</a> &#8211; via www.spiedigitallibrary.org.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=bookitem&amp;rft.atitle=E-beam+induced+EUV+photomask+repair%3A+A+perfect+match&amp;rft.btitle=26th+European+Mask+and+Lithography+Conference&amp;rft.pages=243-250&amp;rft.pub=SPIE&amp;rft.date=2010-05-15&amp;rft_id=info%3Adoi%2F10.1117%2F12.863542&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A137488152%23id-name%3DS2CID&amp;rft.aulast=Waiblinger&amp;rft.aufirst=M.&amp;rft.au=Kornilov%2C+K.&amp;rft.au=Hofmann%2C+T.&amp;rft.au=Edinger%2C+K.&amp;rft_id=https%3A%2F%2Fwww.spiedigitallibrary.org%2Fconference-proceedings-of-spie%2F7545%2F75450P%2Fe-beam-induced-EUV-photomask-repair-a-perfect-match%2F10.1117%2F12.863542.full&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span> <span class="cs1-visible-error citation-comment"><code class="cs1-code">{{<a href="/wiki/Template:Cite_book" title="Template:Cite book">cite book</a>}}</code>: </span><span class="cs1-visible-error citation-comment"><code class="cs1-code">&#124;journal=</code> ignored (<a href="/wiki/Help:CS1_errors#periodical_ignored" title="Help:CS1 errors">help</a>)</span></span> </li> <li id="cite_note-21"><span class="mw-cite-backlink"><b><a href="#cite_ref-21">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation book cs1"><a rel="nofollow" class="external text" href="https://books.google.com/books?id=91XeKLC9MUEC&amp;dq=euv+capping+layer+etching&amp;pg=PA360"><i>EUV Lithography</i></a>. SPIE Press. 2009. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/978-0-8194-6964-9" title="Special:BookSources/978-0-8194-6964-9"><bdi>978-0-8194-6964-9</bdi></a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=book&amp;rft.btitle=EUV+Lithography&amp;rft.pub=SPIE+Press&amp;rft.date=2009&amp;rft.isbn=978-0-8194-6964-9&amp;rft_id=https%3A%2F%2Fbooks.google.com%2Fbooks%3Fid%3D91XeKLC9MUEC%26dq%3Deuv%2Bcapping%2Blayer%2Betching%26pg%3DPA360&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-22"><span class="mw-cite-backlink"><b><a href="#cite_ref-22">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation book cs1"><a rel="nofollow" class="external text" href="https://books.google.com/books?id=I-bLBQAAQBAJ&amp;dq=photomask+layers&amp;pg=PA560"><i>Handbook of Photomask Manufacturing Technology</i></a>. CRC Press. 3 October 2018. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/978-1-4200-2878-2" title="Special:BookSources/978-1-4200-2878-2"><bdi>978-1-4200-2878-2</bdi></a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=book&amp;rft.btitle=Handbook+of+Photomask+Manufacturing+Technology&amp;rft.pub=CRC+Press&amp;rft.date=2018-10-03&amp;rft.isbn=978-1-4200-2878-2&amp;rft_id=https%3A%2F%2Fbooks.google.com%2Fbooks%3Fid%3DI-bLBQAAQBAJ%26dq%3Dphotomask%2Blayers%26pg%3DPA560&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-23"><span class="mw-cite-backlink"><b><a href="#cite_ref-23">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFTomie2012" class="citation journal cs1">Tomie, Toshihisa (2012-05-21). <a rel="nofollow" class="external text" href="https://doi.org/10.1117%2F1.JMM.11.2.021109">"Tin laser-produced plasma as the light source for extreme ultraviolet lithography high-volume manufacturing: history, ideal plasma, present status, and prospects"</a>. <i>Journal of Micro/Nanolithography, MEMS, and MOEMS</i>. <b>11</b> (2): 021109–1. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://doi.org/10.1117%2F1.JMM.11.2.021109">10.1117/1.JMM.11.2.021109</a></span>. <a href="/wiki/ISSN_(identifier)" class="mw-redirect" title="ISSN (identifier)">ISSN</a>&#160;<a rel="nofollow" class="external text" href="https://search.worldcat.org/issn/1932-5150">1932-5150</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Journal+of+Micro%2FNanolithography%2C+MEMS%2C+and+MOEMS&amp;rft.atitle=Tin+laser-produced+plasma+as+the+light+source+for+extreme+ultraviolet+lithography+high-volume+manufacturing%3A+history%2C+ideal+plasma%2C+present+status%2C+and+prospects&amp;rft.volume=11&amp;rft.issue=2&amp;rft.pages=021109-1&amp;rft.date=2012-05-21&amp;rft_id=info%3Adoi%2F10.1117%2F1.JMM.11.2.021109&amp;rft.issn=1932-5150&amp;rft.aulast=Tomie&amp;rft.aufirst=Toshihisa&amp;rft_id=https%3A%2F%2Fdoi.org%2F10.1117%252F1.JMM.11.2.021109&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-24"><span class="mw-cite-backlink"><b><a href="#cite_ref-24">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFElgSporrePaniciSrivastava2016" class="citation journal cs1">Elg, Daniel T.; Sporre, John R.; Panici, Gianluca A.; Srivastava, Shailendra N.; Ruzic, David N. (2016). <a rel="nofollow" class="external text" href="https://cpmi.illinois.edu/files/2016/03/In-Situ-Collector-Cleaning-and-EUV-Reflectivity-Restoration-by-Hydrogen-Plasma-for-EUV-Sources.pdf">"In situ collector cleaning and extreme ultraviolet reflectivity restoration by hydrogen plasma for extreme ultraviolet sources"</a> <span class="cs1-format">(PDF)</span>. <i>Journal of Vacuum Science &amp; Technology A</i>. <b>34</b> (21305): 021305. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2016JVSTA..34b1305E">2016JVSTA..34b1305E</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1116%2F1.4942456">10.1116/1.4942456</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Journal+of+Vacuum+Science+%26+Technology+A&amp;rft.atitle=In+situ+collector+cleaning+and+extreme+ultraviolet+reflectivity+restoration+by+hydrogen+plasma+for+extreme+ultraviolet+sources&amp;rft.volume=34&amp;rft.issue=21305&amp;rft.pages=021305&amp;rft.date=2016&amp;rft_id=info%3Adoi%2F10.1116%2F1.4942456&amp;rft_id=info%3Abibcode%2F2016JVSTA..34b1305E&amp;rft.aulast=Elg&amp;rft.aufirst=Daniel+T.&amp;rft.au=Sporre%2C+John+R.&amp;rft.au=Panici%2C+Gianluca+A.&amp;rft.au=Srivastava%2C+Shailendra+N.&amp;rft.au=Ruzic%2C+David+N.&amp;rft_id=https%3A%2F%2Fcpmi.illinois.edu%2Ffiles%2F2016%2F03%2FIn-Situ-Collector-Cleaning-and-EUV-Reflectivity-Restoration-by-Hydrogen-Plasma-for-EUV-Sources.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-25"><span class="mw-cite-backlink"><b><a href="#cite_ref-25">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFBosgraZoethoutvan_der_EerdenVerhoeven2012" class="citation journal cs1">Bosgra, Jeroen; Zoethout, Erwin; van der Eerden, Ad M. J.; Verhoeven, Jan; van de Kruijs, Robbert W. E.; Yakshin, Andrey E.; Bijkerk, Fred (2012). <a rel="nofollow" class="external text" href="https://opg.optica.org/ao/viewmedia.cfm?uri=ao-51-36-8541&amp;seq=0">"Structural properties of subnanometer thick Y layers in extreme ultraviolet multilayer mirrors"</a>. <i>Applied Optics</i>. <b>51</b> (36): 8541–8548. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2012ApOpt..51.8541B">2012ApOpt..51.8541B</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1364%2FAO.51.008541">10.1364/AO.51.008541</a>. <a href="/wiki/PMID_(identifier)" class="mw-redirect" title="PMID (identifier)">PMID</a>&#160;<a rel="nofollow" class="external text" href="https://pubmed.ncbi.nlm.nih.gov/23262592">23262592</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Applied+Optics&amp;rft.atitle=Structural+properties+of+subnanometer+thick+Y+layers+in+extreme+ultraviolet+multilayer+mirrors&amp;rft.volume=51&amp;rft.issue=36&amp;rft.pages=8541-8548&amp;rft.date=2012&amp;rft_id=info%3Apmid%2F23262592&amp;rft_id=info%3Adoi%2F10.1364%2FAO.51.008541&amp;rft_id=info%3Abibcode%2F2012ApOpt..51.8541B&amp;rft.aulast=Bosgra&amp;rft.aufirst=Jeroen&amp;rft.au=Zoethout%2C+Erwin&amp;rft.au=van+der+Eerden%2C+Ad+M.+J.&amp;rft.au=Verhoeven%2C+Jan&amp;rft.au=van+de+Kruijs%2C+Robbert+W.+E.&amp;rft.au=Yakshin%2C+Andrey+E.&amp;rft.au=Bijkerk%2C+Fred&amp;rft_id=https%3A%2F%2Fopg.optica.org%2Fao%2Fviewmedia.cfm%3Furi%3Dao-51-36-8541%26seq%3D0&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-26"><span class="mw-cite-backlink"><b><a href="#cite_ref-26">^</a></b></span> <span class="reference-text">H. Komori <i>et al.</i>, <i>Proc. SPIE</i> 5374, pp. 839–846 (2004).</span> </li> <li id="cite_note-27"><span class="mw-cite-backlink"><b><a href="#cite_ref-27">^</a></b></span> <span class="reference-text">B. A. M. Hansson <i>et al.</i>, <i>Proc. SPIE</i> 4688, pp. 102–109 (2002).</span> </li> <li id="cite_note-28"><span class="mw-cite-backlink"><b><a href="#cite_ref-28">^</a></b></span> <span class="reference-text">S. N. Srivastava <i>et al.</i>, <i>J. Appl. Phys.</i> 102, 023301 (2007).</span> </li> <li id="cite_note-29"><span class="mw-cite-backlink"><b><a href="#cite_ref-29">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFNigel_FarrarDavid_BrandtNorbert_Böwering2009" class="citation web cs1">Nigel Farrar; David Brandt; Norbert Böwering (26 February 2009). <a rel="nofollow" class="external text" href="https://www.laserfocusworld.com/optics/article/16566714/optics-for-scanning-multilayer-mirrors-enable-nextgeneration-euv-lithography">"OPTICS FOR SCANNING: Multilayer mirrors enable next-generation EUV lithography"</a>. <i>Laser Focus World</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Laser+Focus+World&amp;rft.atitle=OPTICS+FOR+SCANNING%3A+Multilayer+mirrors+enable+next-generation+EUV+lithography&amp;rft.date=2009-02-26&amp;rft.au=Nigel+Farrar&amp;rft.au=David+Brandt&amp;rft.au=Norbert+B%C3%B6wering&amp;rft_id=https%3A%2F%2Fwww.laserfocusworld.com%2Foptics%2Farticle%2F16566714%2Foptics-for-scanning-multilayer-mirrors-enable-nextgeneration-euv-lithography&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-30"><span class="mw-cite-backlink"><b><a href="#cite_ref-30">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFH._S._Kim" class="citation web cs1">H. S. Kim. <a rel="nofollow" class="external text" href="https://web.archive.org/web/20150710163014/http://www.sematech.org/meetings/archives/litho/8653/pres/Keynote3_Kim_Hynix.pdf">"Future of Memory Devices and EUV Lithography"</a> <span class="cs1-format">(PDF)</span>. <i>2009 EUV Symposium</i>. Archived from <a rel="nofollow" class="external text" href="http://www.sematech.org/meetings/archives/litho/8653/pres/Keynote3_Kim_Hynix.pdf">the original</a> <span class="cs1-format">(PDF)</span> on 2015-07-10<span class="reference-accessdate">. Retrieved <span class="nowrap">2012-10-25</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=2009+EUV+Symposium&amp;rft.atitle=Future+of+Memory+Devices+and+EUV+Lithography&amp;rft.au=H.+S.+Kim&amp;rft_id=http%3A%2F%2Fwww.sematech.org%2Fmeetings%2Farchives%2Flitho%2F8653%2Fpres%2FKeynote3_Kim_Hynix.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-31"><span class="mw-cite-backlink"><b><a href="#cite_ref-31">^</a></b></span> <span class="reference-text">H. Mizoguchi, "Laser Produced Plasma EUV Light Source Gigaphoton Update", EUVL Source Workshop, May 12, 2008.</span> </li> <li id="cite_note-32"><span class="mw-cite-backlink"><b><a href="#cite_ref-32">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://youtube.com/Jv40Viz-KTc?si=aSZbO5T5RVTwP0zq&amp;t=59/">"Behind this Door: Learn about EUV, Intel's Most Precise, Complex Machine"</a>. <i><a href="/wiki/YouTube" title="YouTube">YouTube</a></i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=YouTube&amp;rft.atitle=Behind+this+Door%3A+Learn+about+EUV%2C+Intel%27s+Most+Precise%2C+Complex+Machine&amp;rft_id=https%3A%2F%2Fyoutube.com%2FJv40Viz-KTc%3Fsi%3DaSZbO5T5RVTwP0zq%26t%3D59%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-auto5-33"><span class="mw-cite-backlink">^ <a href="#cite_ref-auto5_33-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-auto5_33-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-auto5_33-2"><sup><i><b>c</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.digitimes.com/news/a20240628PD205/euv-technology-2030-adoption-ic-manufacturing-equipment.html">"Hyper-NA EUV to debut in 2030, primes foundry market for transformation"</a>. <i>DIGITIMES</i>. June 28, 2024.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=DIGITIMES&amp;rft.atitle=Hyper-NA+EUV+to+debut+in+2030%2C+primes+foundry+market+for+transformation&amp;rft.date=2024-06-28&amp;rft_id=https%3A%2F%2Fwww.digitimes.com%2Fnews%2Fa20240628PD205%2Feuv-technology-2030-adoption-ic-manufacturing-equipment.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-34"><span class="mw-cite-backlink"><b><a href="#cite_ref-34">^</a></b></span> <span class="reference-text">ASML 2020 Annual Report, p. 68.</span> </li> <li id="cite_note-35"><span class="mw-cite-backlink"><b><a href="#cite_ref-35">^</a></b></span> <span class="reference-text">This EUV source has been chosen due to its high CO<sub>2</sub> laser to EUV conversion efficiency (~ 5&#160;% or more). See "Igor Fomenkov, <a rel="nofollow" class="external text" href="https://euvlitho.com/2019/S1.pdf">EUV Source for Lithography in HVM - performance and prospects</a>, ASML Fellow, Source workshop, Amsterdam, 2019-11-05".</span> </li> <li id="cite_note-36"><span class="mw-cite-backlink"><b><a href="#cite_ref-36">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFYang2022" class="citation journal cs1">Yang, De-Kun (2022-07-13). <a rel="nofollow" class="external text" href="https://doi.org/10.1016%2Fj.chip.2022.100019">"The development of laser-produced plasma EUV light source"</a>. <i>Chip</i>. <b>1</b> (3). 100019. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://doi.org/10.1016%2Fj.chip.2022.100019">10.1016/j.chip.2022.100019</a></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Chip&amp;rft.atitle=The+development+of+laser-produced+plasma+EUV+light+source&amp;rft.volume=1&amp;rft.issue=3&amp;rft.pages=100019&amp;rft.date=2022-07-13&amp;rft_id=info%3Adoi%2F10.1016%2Fj.chip.2022.100019&amp;rft.aulast=Yang&amp;rft.aufirst=De-Kun&amp;rft_id=https%3A%2F%2Fdoi.org%2F10.1016%252Fj.chip.2022.100019&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-37"><span class="mw-cite-backlink"><b><a href="#cite_ref-37">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20200709233755/https://www.gigaphoton.com/wp-content/uploads/pdf/6517-23_LPP40W_Manuscript.pdf">"Gigaphoton"</a> <span class="cs1-format">(PDF)</span>. Archived from <a rel="nofollow" class="external text" href="http://www.gigaphoton.com/wp-content/uploads/pdf/6517-23_LPP40W_Manuscript.pdf">the original</a> <span class="cs1-format">(PDF)</span> on 9 July 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">17 May</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Gigaphoton&amp;rft_id=http%3A%2F%2Fwww.gigaphoton.com%2Fwp-content%2Fuploads%2Fpdf%2F6517-23_LPP40W_Manuscript.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-38"><span class="mw-cite-backlink"><b><a href="#cite_ref-38">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.cymer.com/wp-content/uploads/2018/01/Cymer_SPIE_AdvancedLithography_2017v2-1.pdf">"Cymer SPIE 2018"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Cymer+SPIE+2018&amp;rft_id=https%3A%2F%2Fwww.cymer.com%2Fwp-content%2Fuploads%2F2018%2F01%2FCymer_SPIE_AdvancedLithography_2017v2-1.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-39"><span class="mw-cite-backlink"><b><a href="#cite_ref-39">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.euvlitho.com/2018/P22.pdf">"Zeiss 2018 EUVL Workshop update"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Zeiss+2018+EUVL+Workshop+update&amp;rft_id=https%3A%2F%2Fwww.euvlitho.com%2F2018%2FP22.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-40"><span class="mw-cite-backlink"><b><a href="#cite_ref-40">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20170812064448/http://staticwww.asml.com/doclib/immersion/en/SPIE2007_XT1900_paper.pdf">"SPIE 2007 paper"</a> <span class="cs1-format">(PDF)</span>. Archived from <a rel="nofollow" class="external text" href="https://staticwww.asml.com/doclib/immersion/en/SPIE2007_XT1900_paper.pdf">the original</a> <span class="cs1-format">(PDF)</span> on 2017-08-12<span class="reference-accessdate">. Retrieved <span class="nowrap">2018-07-28</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=SPIE+2007+paper&amp;rft_id=https%3A%2F%2Fstaticwww.asml.com%2Fdoclib%2Fimmersion%2Fen%2FSPIE2007_XT1900_paper.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-41"><span class="mw-cite-backlink"><b><a href="#cite_ref-41">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.euvlitho.com/2016/P61.pdf">"ASML, 2016 EUVL Workshop, p. 14"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=ASML%2C+2016+EUVL+Workshop%2C+p.+14&amp;rft_id=https%3A%2F%2Fwww.euvlitho.com%2F2016%2FP61.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-42"><span class="mw-cite-backlink"><b><a href="#cite_ref-42">^</a></b></span> <span class="reference-text">Y. Wang and Y. Liu, Proc. SPIE 9283, 928314 (2014).</span> </li> <li id="cite_note-arc186-43"><span class="mw-cite-backlink">^ <a href="#cite_ref-arc186_43-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-arc186_43-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-arc186_43-2"><sup><i><b>c</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20170810121443/https://www.zeiss.com/content/dam/smt/downloads/products_and_solutions/photomask-systems/Publications/9231_24.pdf">"R. Capelli et al., Proc. SPIE 9231, 923109 (2014)"</a> <span class="cs1-format">(PDF)</span>. Archived from <a rel="nofollow" class="external text" href="https://www.zeiss.com/content/dam/smt/downloads/products_and_solutions/photomask-systems/Publications/9231_24.pdf">the original</a> <span class="cs1-format">(PDF)</span> on 10 August 2017<span class="reference-accessdate">. Retrieved <span class="nowrap">17 May</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=R.+Capelli+et+al.%2C+Proc.+SPIE+9231%2C+923109+%282014%29.&amp;rft_id=https%3A%2F%2Fwww.zeiss.com%2Fcontent%2Fdam%2Fsmt%2Fdownloads%2Fproducts_and_solutions%2Fphotomask-systems%2FPublications%2F9231_24.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-44"><span class="mw-cite-backlink"><b><a href="#cite_ref-44">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20170809032333/http://staticwww.asml.com/doclib/productandservices/94081.pdf">"M. van den Brink et al., Proc. SPIE 2726 (1996)"</a> <span class="cs1-format">(PDF)</span>. Archived from <a rel="nofollow" class="external text" href="https://staticwww.asml.com/doclib/productandservices/94081.pdf">the original</a> <span class="cs1-format">(PDF)</span> on 2017-08-09<span class="reference-accessdate">. Retrieved <span class="nowrap">2018-07-17</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=M.+van+den+Brink+et+al.%2C+Proc.+SPIE+2726+%281996%29&amp;rft_id=https%3A%2F%2Fstaticwww.asml.com%2Fdoclib%2Fproductandservices%2F94081.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-45"><span class="mw-cite-backlink"><b><a href="#cite_ref-45">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFSchmoellerKlimpelKimLorusso2008" class="citation book cs1">Schmoeller, Thomas; Klimpel, T.; Kim, I.; Lorusso, G.; Myers, A. F.; Jonckheere, Rik; Goethals, Anne-Marie; Ronse, K. (14 March 2008). <a rel="nofollow" class="external text" href="https://www.researchgate.net/publication/241466754">"EUV pattern shift compensation strategies"</a>. In Schellenberg, Frank M. (ed.). <i>Emerging Lithographic Technologies XII</i>. Proceedings of SPIE. Vol.&#160;6921. pp.&#160;69211B. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1117%2F12.772640">10.1117/12.772640</a>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:121926142">121926142</a> &#8211; via ResearchGate.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=bookitem&amp;rft.atitle=EUV+pattern+shift+compensation+strategies&amp;rft.btitle=Emerging+Lithographic+Technologies+XII&amp;rft.series=Proceedings+of+SPIE&amp;rft.pages=69211B&amp;rft.date=2008-03-14&amp;rft_id=info%3Adoi%2F10.1117%2F12.772640&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A121926142%23id-name%3DS2CID&amp;rft.aulast=Schmoeller&amp;rft.aufirst=Thomas&amp;rft.au=Klimpel%2C+T.&amp;rft.au=Kim%2C+I.&amp;rft.au=Lorusso%2C+G.&amp;rft.au=Myers%2C+A.+F.&amp;rft.au=Jonckheere%2C+Rik&amp;rft.au=Goethals%2C+Anne-Marie&amp;rft.au=Ronse%2C+K.&amp;rft_id=https%3A%2F%2Fwww.researchgate.net%2Fpublication%2F241466754&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-46"><span class="mw-cite-backlink"><b><a href="#cite_ref-46">^</a></b></span> <span class="reference-text">A. N. Broers, IEEE Trans. Elec. Dev. 28, 1268 (1981).</span> </li> <li id="cite_note-47"><span class="mw-cite-backlink"><b><a href="#cite_ref-47">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFTao2005" class="citation journal cs1">Tao, Y.; et&#160;al. (2005). "Characterization of density profile of laser-produced Sn plasma for 13.5 nm extreme ultraviolet source". <i>Appl. Phys. Lett</i>. <b>86</b> (20): 201501. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2005ApPhL..86t1501T">2005ApPhL..86t1501T</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1063%2F1.1931825">10.1063/1.1931825</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Appl.+Phys.+Lett.&amp;rft.atitle=Characterization+of+density+profile+of+laser-produced+Sn+plasma+for+13.5+nm+extreme+ultraviolet+source&amp;rft.volume=86&amp;rft.issue=20&amp;rft.pages=201501&amp;rft.date=2005&amp;rft_id=info%3Adoi%2F10.1063%2F1.1931825&amp;rft_id=info%3Abibcode%2F2005ApPhL..86t1501T&amp;rft.aulast=Tao&amp;rft.aufirst=Y.&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-48"><span class="mw-cite-backlink"><b><a href="#cite_ref-48">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.nifs.ac.jp/itc/itc14/abstract/P1-30.html">"Abstract 107 Last Page"</a>. <i>www.nifs.ac.jp</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.nifs.ac.jp&amp;rft.atitle=Abstract+107+Last+Page&amp;rft_id=http%3A%2F%2Fwww.nifs.ac.jp%2Fitc%2Fitc14%2Fabstract%2FP1-30.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-49"><span class="mw-cite-backlink"><b><a href="#cite_ref-49">^</a></b></span> <span class="reference-text">I. Fomenkov et al., Adv. Opt. Tech. 6, 173 (2017).</span> </li> <li id="cite_note-50"><span class="mw-cite-backlink"><b><a href="#cite_ref-50">^</a></b></span> <span class="reference-text">I. V. Fomenkov, Proc. SPIE 10957, 1095719 (2019).</span> </li> <li id="cite_note-snh4-51"><span class="mw-cite-backlink">^ <a href="#cite_ref-snh4_51-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-snh4_51-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFNadir_FaradzhevVadim_Sidorkin2009" class="citation journal cs1">Nadir Faradzhev; Vadim Sidorkin (2009). <a rel="nofollow" class="external text" href="https://web.archive.org/web/20161220125121/http://www.physics.rutgers.edu/~faradjev/pdf/F46.pdf">"Hydrogen mediated transport of Sn to Ru film surface"</a> <span class="cs1-format">(PDF)</span>. <i>J. Vac. Sci. Technol. A</i>. <b>27</b> (2): 306–314. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2009JVSTA..27..306F">2009JVSTA..27..306F</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1116%2F1.3081968">10.1116/1.3081968</a>. Archived from <a rel="nofollow" class="external text" href="http://www.physics.rutgers.edu/~faradjev/pdf/F46.pdf">the original</a> <span class="cs1-format">(PDF)</span> on 2016-12-20<span class="reference-accessdate">. Retrieved <span class="nowrap">2016-12-14</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=J.+Vac.+Sci.+Technol.+A&amp;rft.atitle=Hydrogen+mediated+transport+of+Sn+to+Ru+film+surface&amp;rft.volume=27&amp;rft.issue=2&amp;rft.pages=306-314&amp;rft.date=2009&amp;rft_id=info%3Adoi%2F10.1116%2F1.3081968&amp;rft_id=info%3Abibcode%2F2009JVSTA..27..306F&amp;rft.au=Nadir+Faradzhev&amp;rft.au=Vadim+Sidorkin&amp;rft_id=http%3A%2F%2Fwww.physics.rutgers.edu%2F~faradjev%2Fpdf%2FF46.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-sn_contam-52"><span class="mw-cite-backlink">^ <a href="#cite_ref-sn_contam_52-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-sn_contam_52-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-sn_contam_52-2"><sup><i><b>c</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFEishi_Shiobara2016" class="citation web cs1">Eishi Shiobara (2016-02-16). <a rel="nofollow" class="external text" href="http://ieuvi.org/TWG/Resist/2016/20160221Meeting/07_EIDEC_Shiobara.pdf">"Update of Resist Outgas Testing at EIDEC"</a> <span class="cs1-format">(PDF)</span>. <i>IEUVI Resist TWG, San Jose</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=IEUVI+Resist+TWG%2C+San+Jose&amp;rft.atitle=Update+of+Resist+Outgas+Testing+at+EIDEC&amp;rft.date=2016-02-16&amp;rft.au=Eishi+Shiobara&amp;rft_id=http%3A%2F%2Fieuvi.org%2FTWG%2FResist%2F2016%2F20160221Meeting%2F07_EIDEC_Shiobara.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-53"><span class="mw-cite-backlink"><b><a href="#cite_ref-53">^</a></b></span> <span class="reference-text">R. Rokitski <i>et al.</i>, Proc. SPIE 7640, 76401Q (2010).</span> </li> <li id="cite_note-auto1-54"><span class="mw-cite-backlink">^ <a href="#cite_ref-auto1_54-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-auto1_54-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-auto1_54-2"><sup><i><b>c</b></i></sup></a> <a href="#cite_ref-auto1_54-3"><sup><i><b>d</b></i></sup></a></span> <span class="reference-text">M. van de Kerkhof et al., Proc. SPIE 10143, 101430D (2017).</span> </li> <li id="cite_note-7nmt2t-55"><span class="mw-cite-backlink">^ <a href="#cite_ref-7nmt2t_55-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-7nmt2t_55-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">Y. Chen et al., J.Vac. Sci. Tech. B35, 06G601 (2017).</span> </li> <li id="cite_note-mizoguchi-56"><span class="mw-cite-backlink">^ <a href="#cite_ref-mizoguchi_56-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-mizoguchi_56-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-mizoguchi_56-2"><sup><i><b>c</b></i></sup></a> <a href="#cite_ref-mizoguchi_56-3"><sup><i><b>d</b></i></sup></a> <a href="#cite_ref-mizoguchi_56-4"><sup><i><b>e</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://pfwww.kek.jp/PEARL/EUV-FEL_Workshop2/Proceedings/07_Mizoguchi.pdf">"H. Mizoguchi et al., 2017 EUV-FEL Workshop, p. 4"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=H.+Mizoguchi+et+al.%2C+2017+EUV-FEL+Workshop%2C+p.+4.&amp;rft_id=http%3A%2F%2Fpfwww.kek.jp%2FPEARL%2FEUV-FEL_Workshop2%2FProceedings%2F07_Mizoguchi.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-57"><span class="mw-cite-backlink"><b><a href="#cite_ref-57">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.linkedin.com/pulse/pinning-down-euv-resists-resolution-vs-throughput-frederick-chen-aehxf">"Pinning Down an EUV Resist's Resolution vs. Throughput"</a>. <i>www.linkedin.com</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.linkedin.com&amp;rft.atitle=Pinning+Down+an+EUV+Resist%27s+Resolution+vs.+Throughput&amp;rft_id=https%3A%2F%2Fwww.linkedin.com%2Fpulse%2Fpinning-down-euv-resists-resolution-vs-throughput-frederick-chen-aehxf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-58"><span class="mw-cite-backlink"><b><a href="#cite_ref-58">^</a></b></span> <span class="reference-text">I. Seshadri et al., IEDM 2023.</span> </li> <li id="cite_note-59"><span class="mw-cite-backlink"><b><a href="#cite_ref-59">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.linkedin.com/pulse/assessing-euv-wafer-output-2019-2022-frederick-chen">"Assessing EUV Wafer Output: 2019–2022"</a>. <i>www.linkedin.com</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.linkedin.com&amp;rft.atitle=Assessing+EUV+Wafer+Output%3A+2019%E2%80%932022&amp;rft_id=https%3A%2F%2Fwww.linkedin.com%2Fpulse%2Fassessing-euv-wafer-output-2019-2022-frederick-chen&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-60"><span class="mw-cite-backlink"><b><a href="#cite_ref-60">^</a></b></span> <span class="reference-text">C. Smeets et al., Proc. SPIE 12494, 1249406 (2023).</span> </li> <li id="cite_note-61"><span class="mw-cite-backlink"><b><a href="#cite_ref-61">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFPaetzel2003" class="citation journal cs1">Paetzel, R.; et&#160;al. (2003). Yen, Anthony (ed.). "Excimer lasers for superhigh NA 193-nm lithography". <i>Proc. SPIE</i>. Optical Microlithography XVI. <b>5040</b>: 1665. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2003SPIE.5040.1665P">2003SPIE.5040.1665P</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1117%2F12.485344">10.1117/12.485344</a>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:18953813">18953813</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Proc.+SPIE&amp;rft.atitle=Excimer+lasers+for+superhigh+NA+193-nm+lithography&amp;rft.volume=5040&amp;rft.pages=1665&amp;rft.date=2003&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A18953813%23id-name%3DS2CID&amp;rft_id=info%3Adoi%2F10.1117%2F12.485344&amp;rft_id=info%3Abibcode%2F2003SPIE.5040.1665P&amp;rft.aulast=Paetzel&amp;rft.aufirst=R.&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-62"><span class="mw-cite-backlink"><b><a href="#cite_ref-62">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFHarilal2006" class="citation journal cs1">Harilal, S. S.; et&#160;al. (2006). "Spectral control of emissions from tin doped targets for extreme ultraviolet lithography". <i>J. Phys. D</i>. <b>39</b> (3): 484–487. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2006JPhD...39..484H">2006JPhD...39..484H</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1088%2F0022-3727%2F39%2F3%2F010">10.1088/0022-3727/39/3/010</a>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:34621555">34621555</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=J.+Phys.+D&amp;rft.atitle=Spectral+control+of+emissions+from+tin+doped+targets+for+extreme+ultraviolet+lithography&amp;rft.volume=39&amp;rft.issue=3&amp;rft.pages=484-487&amp;rft.date=2006&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A34621555%23id-name%3DS2CID&amp;rft_id=info%3Adoi%2F10.1088%2F0022-3727%2F39%2F3%2F010&amp;rft_id=info%3Abibcode%2F2006JPhD...39..484H&amp;rft.aulast=Harilal&amp;rft.aufirst=S.+S.&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-63"><span class="mw-cite-backlink"><b><a href="#cite_ref-63">^</a></b></span> <span class="reference-text">T. Asayama <i>et al.</i>, Proc. SPIE vol. 8683, 86831G (2013).</span> </li> <li id="cite_note-64"><span class="mw-cite-backlink"><b><a href="#cite_ref-64">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.euvlitho.com/2013/S2.pdf">"ASML update Nov. 2013, Dublin"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=ASML+update+Nov.+2013%2C+Dublin&amp;rft_id=http%3A%2F%2Fwww.euvlitho.com%2F2013%2FS2.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-65"><span class="mw-cite-backlink"><b><a href="#cite_ref-65">^</a></b></span> <span class="reference-text">L. Peters, "Double Patterning Leads Race for 32&#160;nm", <i>Semiconductor International</i>, October 18, 2007.</span> </li> <li id="cite_note-66"><span class="mw-cite-backlink"><b><a href="#cite_ref-66">^</a></b></span> <span class="reference-text">M. Sugawara <i>et al.</i>, <i>J. Vac. Sci. Tech. B</i> 21, 2701 (2003).</span> </li> <li id="cite_note-67"><span class="mw-cite-backlink"><b><a href="#cite_ref-67">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.youtube.com/watch?v=4B3_Lp4H5fc">"What is Shadowing in EUV Lithography?"</a>. 28 January 2022 &#8211; via www.youtube.com.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=What+is+Shadowing+in+EUV+Lithography%3F&amp;rft.date=2022-01-28&amp;rft_id=https%3A%2F%2Fwww.youtube.com%2Fwatch%3Fv%3D4B3_Lp4H5fc&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-euvlmask-68"><span class="mw-cite-backlink">^ <a href="#cite_ref-euvlmask_68-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-euvlmask_68-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-euvlmask_68-2"><sup><i><b>c</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFYunfei_DengBruno_M._La_FontaineHarry_J._LevinsonAndrew_R._Neureuther2003" class="citation conference cs1">Yunfei Deng; Bruno M. La Fontaine; Harry J. Levinson; Andrew R. Neureuther (2003). "Rigorous EM simulation of the influence of the structure of mask patterns on EUVL imaging". In Roxann L. Engelstad (ed.). <i>Emerging Lithographic Technologies VII</i>. Vol.&#160;5037. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1117%2F12.484986">10.1117/12.484986</a>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:137035695">137035695</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=conference&amp;rft.atitle=Rigorous+EM+simulation+of+the+influence+of+the+structure+of+mask+patterns+on+EUVL+imaging&amp;rft.btitle=Emerging+Lithographic+Technologies+VII&amp;rft.date=2003&amp;rft_id=info%3Adoi%2F10.1117%2F12.484986&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A137035695%23id-name%3DS2CID&amp;rft.au=Yunfei+Deng&amp;rft.au=Bruno+M.+La+Fontaine&amp;rft.au=Harry+J.+Levinson&amp;rft.au=Andrew+R.+Neureuther&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-69"><span class="mw-cite-backlink"><b><a href="#cite_ref-69">^</a></b></span> <span class="reference-text">G. McIntyre <i>et al.</i>, Proc. SPIE vol. 7271, 72711C (2009).</span> </li> <li id="cite_note-70"><span class="mw-cite-backlink"><b><a href="#cite_ref-70">^</a></b></span> <span class="reference-text">T. Last <i>et al.</i>, Proc. SPIE 9985, 99850W (2016).</span> </li> <li id="cite_note-2bar-71"><span class="mw-cite-backlink">^ <a href="#cite_ref-2bar_71-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-2bar_71-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">T. Last <i>et al.</i>, Proc. SPIE vol. 10143, 1014311 (2017).</span> </li> <li id="cite_note-72"><span class="mw-cite-backlink"><b><a href="#cite_ref-72">^</a></b></span> <span class="reference-text">W. Gao <i>et al.</i>, Proc. SPIE vol. 10143, 101430I (2017).</span> </li> <li id="cite_note-73"><span class="mw-cite-backlink"><b><a href="#cite_ref-73">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.youtube.com/watch?v=agMx-nuL_Qg">"Polarization by Reflection in EUV Lithography Systems"</a>. 21 August 2022 &#8211; via www.youtube.com.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Polarization+by+Reflection+in+EUV+Lithography+Systems&amp;rft.date=2022-08-21&amp;rft_id=https%3A%2F%2Fwww.youtube.com%2Fwatch%3Fv%3DagMx-nuL_Qg&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-74"><span class="mw-cite-backlink"><b><a href="#cite_ref-74">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.linkedin.com/pulse/growing-significance-polarization-euv-lithography-frederick-chen">"The Growing Significance of Polarization in EUV Lithography"</a>. <i>www.linkedin.com</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.linkedin.com&amp;rft.atitle=The+Growing+Significance+of+Polarization+in+EUV+Lithography&amp;rft_id=https%3A%2F%2Fwww.linkedin.com%2Fpulse%2Fgrowing-significance-polarization-euv-lithography-frederick-chen&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-75"><span class="mw-cite-backlink"><b><a href="#cite_ref-75">^</a></b></span> <span class="reference-text">M. Burkhardt et al., Proc. SPIE 10957, 1095710 (2019).</span> </li> <li id="cite_note-76"><span class="mw-cite-backlink"><b><a href="#cite_ref-76">^</a></b></span> <span class="reference-text">A. Erdmann, P. Evanschitzky, T. Fuhrer, Proc. SPIE 7271, 72711E (2009).</span> </li> <li id="cite_note-77"><span class="mw-cite-backlink"><b><a href="#cite_ref-77">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.youtube.com/watch?v=pvLTvoXmqmk">"Double Diffraction Model of EUV Masks"</a>. 26 September 2021 &#8211; via www.youtube.com.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Double+Diffraction+Model+of+EUV+Masks&amp;rft.date=2021-09-26&amp;rft_id=https%3A%2F%2Fwww.youtube.com%2Fwatch%3Fv%3DpvLTvoXmqmk&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-78"><span class="mw-cite-backlink"><b><a href="#cite_ref-78">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.linkedin.com/pulse/double-diffraction-euv-masks-seeing-through-illusion-symmetry-chen">"Double Diffraction in EUV Masks: Seeing Through The Illusion of Symmetry"</a>. <i>www.linkedin.com</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.linkedin.com&amp;rft.atitle=Double+Diffraction+in+EUV+Masks%3A+Seeing+Through+The+Illusion+of+Symmetry&amp;rft_id=https%3A%2F%2Fwww.linkedin.com%2Fpulse%2Fdouble-diffraction-euv-masks-seeing-through-illusion-symmetry-chen&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-79"><span class="mw-cite-backlink"><b><a href="#cite_ref-79">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20150626163721/http://www.sematech.org/meetings/archives/litho/7853/Flatness/S.%20Yoshitake_NuFlare.pdf">"EUV Mask Flatness Requirements"</a> <span class="cs1-format">(PDF)</span>. Archived from <a rel="nofollow" class="external text" href="http://www.sematech.org/meetings/archives/litho/7853/Flatness/S.%20Yoshitake_NuFlare.pdf">the original</a> <span class="cs1-format">(PDF)</span> on 2015-06-26<span class="reference-accessdate">. Retrieved <span class="nowrap">2015-06-26</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=EUV+Mask+Flatness+Requirements&amp;rft_id=http%3A%2F%2Fwww.sematech.org%2Fmeetings%2Farchives%2Flitho%2F7853%2FFlatness%2FS.%2520Yoshitake_NuFlare.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-80"><span class="mw-cite-backlink"><b><a href="#cite_ref-80">^</a></b></span> <span class="reference-text">T. Schmoeller <i>et al.</i>, Proc. SPIE vol. 6921, 69211B (2008).</span> </li> <li id="cite_note-81"><span class="mw-cite-backlink"><b><a href="#cite_ref-81">^</a></b></span> <span class="reference-text">P. Liu <i> et al.</i>, Proc. SPIE vol. 8679, 86790W (2013).</span> </li> <li id="cite_note-10nmppe-82"><span class="mw-cite-backlink">^ <a href="#cite_ref-10nmppe_82-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-10nmppe_82-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">M. Sugawara <i>et al.</i>, Proc. SPIE 9048, 90480V (2014).</span> </li> <li id="cite_note-xchen-83"><span class="mw-cite-backlink">^ <a href="#cite_ref-xchen_83-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-xchen_83-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">X. Chen <i>et al.</i>, Proc. SPIE 10143, 101431F (2017).</span> </li> <li id="cite_note-84"><span class="mw-cite-backlink"><b><a href="#cite_ref-84">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20181215222052/https://www.asml.com/products/systems/twinscan-nxe/twinscan-nxe3400b/en/s46772?dfp_product_id=10850">"TWINSCAN NXE:3400B"</a>. <i>ASML</i>. Archived from <a rel="nofollow" class="external text" href="https://www.asml.com/products/systems/twinscan-nxe/twinscan-nxe3400b/en/s46772?dfp_product_id=10850">the original</a> on 2018-12-15<span class="reference-accessdate">. Retrieved <span class="nowrap">2017-07-02</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=ASML&amp;rft.atitle=TWINSCAN+NXE%3A3400B&amp;rft_id=https%3A%2F%2Fwww.asml.com%2Fproducts%2Fsystems%2Ftwinscan-nxe%2Ftwinscan-nxe3400b%2Fen%2Fs46772%3Fdfp_product_id%3D10850&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-auto-85"><span class="mw-cite-backlink">^ <a href="#cite_ref-auto_85-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-auto_85-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">X. Liu <i>et al.</i>, Proc. SPIE vol. 9048, 90480Q (2014).</span> </li> <li id="cite_note-86"><span class="mw-cite-backlink"><b><a href="#cite_ref-86">^</a></b></span> <span class="reference-text">O. Wood et al., Proc. SPIE 10450, 1045008 (2017).</span> </li> <li id="cite_note-87"><span class="mw-cite-backlink"><b><a href="#cite_ref-87">^</a></b></span> <span class="reference-text">S. Yoshitake et al., EUV Mask Flatness Requirements: E-beam Mask Writer Supplier Perspective.</span> </li> <li id="cite_note-88"><span class="mw-cite-backlink"><b><a href="#cite_ref-88">^</a></b></span> <span class="reference-text">J.-H. Franke et al., Proc. SPIE 11147, 111470E (2019).</span> </li> <li id="cite_note-89"><span class="mw-cite-backlink"><b><a href="#cite_ref-89">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.youtube.com/watch?v=OXJwxQK4S8o">"Defocus Induced Image Shift in EUV Lithography"</a>. 24 January 2023 &#8211; via www.youtube.com.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Defocus+Induced+Image+Shift+in+EUV+Lithography&amp;rft.date=2023-01-24&amp;rft_id=https%3A%2F%2Fwww.youtube.com%2Fwatch%3Fv%3DOXJwxQK4S8o&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-90"><span class="mw-cite-backlink"><b><a href="#cite_ref-90">^</a></b></span> <span class="reference-text">A. Shchegrov et al., Proc. SPIE 11325, 113251P (2020).</span> </li> <li id="cite_note-91"><span class="mw-cite-backlink"><b><a href="#cite_ref-91">^</a></b></span> <span class="reference-text">J-H. Franke et al., J. Micro/Nanopatterning, Materials, and Metrology 21, 030501 (2022).</span> </li> <li id="cite_note-92"><span class="mw-cite-backlink"><b><a href="#cite_ref-92">^</a></b></span> <span class="reference-text">H. N. Chapman and K. A. Nugent, Proc. SPIE 3767, 225 (1999).</span> </li> <li id="cite_note-93"><span class="mw-cite-backlink"><b><a href="#cite_ref-93">^</a></b></span> <span class="reference-text">H. Komatsuda, Proc. SPIE 3997, 765 (2000).</span> </li> <li id="cite_note-94"><span class="mw-cite-backlink"><b><a href="#cite_ref-94">^</a></b></span> <span class="reference-text">Q. Mei et al., Proc. SPIE 8679, 867923 (2013).</span> </li> <li id="cite_note-95"><span class="mw-cite-backlink"><b><a href="#cite_ref-95">^</a></b></span> <span class="reference-text">D. Hellweg et al., Proc. SPIE 7969, 79690H (2011).</span> </li> <li id="cite_note-96"><span class="mw-cite-backlink"><b><a href="#cite_ref-96">^</a></b></span> <span class="reference-text">K. Hooker et al., Proc. SPIE 10446, 1044604 (2017).</span> </li> <li id="cite_note-garetto-97"><span class="mw-cite-backlink"><b><a href="#cite_ref-garetto_97-0">^</a></b></span> <span class="reference-text">A. Garetto et al., J. Micro/Nanolith. MEMS MOEMS 13, 043006 (2014).</span> </li> <li id="cite_note-eom-98"><span class="mw-cite-backlink">^ <a href="#cite_ref-eom_98-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-eom_98-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">T-S. Eom et al., Proc. SPIE 8679, 86791J (2013).</span> </li> <li id="cite_note-99"><span class="mw-cite-backlink"><b><a href="#cite_ref-99">^</a></b></span> <span class="reference-text">R. Capelli et al., Proc. SPIE 10957, 109570X (2019).</span> </li> <li id="cite_note-Proc_2020-100"><span class="mw-cite-backlink">^ <a href="#cite_ref-Proc_2020_100-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-Proc_2020_100-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">J. Fu et al., Proc. SPIE 11323, 113232H (2020).</span> </li> <li id="cite_note-101"><span class="mw-cite-backlink"><b><a href="#cite_ref-101">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFMiyakawaNaulleau2019" class="citation journal cs1">Miyakawa, R.; Naulleau, P. (May 13, 2019). <a rel="nofollow" class="external text" href="https://escholarship.org/uc/item/07h5f8vn">"Preparing for the Next Generation of EUV Lithography at the Center for X-ray Optics"</a>. <i>Synchrotron Radiation News</i>. <b>32</b> (4): 15–21. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2019SRNew..32...15M">2019SRNew..32...15M</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1080%2F08940886.2019.1634432">10.1080/08940886.2019.1634432</a>. <a href="/wiki/OSTI_(identifier)" class="mw-redirect" title="OSTI (identifier)">OSTI</a>&#160;<a rel="nofollow" class="external text" href="https://www.osti.gov/biblio/1582044">1582044</a>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:202145457">202145457</a> &#8211; via escholarship.org.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Synchrotron+Radiation+News&amp;rft.atitle=Preparing+for+the+Next+Generation+of+EUV+Lithography+at+the+Center+for+X-ray+Optics&amp;rft.volume=32&amp;rft.issue=4&amp;rft.pages=15-21&amp;rft.date=2019-05-13&amp;rft_id=info%3Adoi%2F10.1080%2F08940886.2019.1634432&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A202145457%23id-name%3DS2CID&amp;rft_id=https%3A%2F%2Fwww.osti.gov%2Fbiblio%2F1582044%23id-name%3DOSTI&amp;rft_id=info%3Abibcode%2F2019SRNew..32...15M&amp;rft.aulast=Miyakawa&amp;rft.aufirst=R.&amp;rft.au=Naulleau%2C+P.&amp;rft_id=https%3A%2F%2Fescholarship.org%2Fuc%2Fitem%2F07h5f8vn&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-102"><span class="mw-cite-backlink"><b><a href="#cite_ref-102">^</a></b></span> <span class="reference-text">S. Koo et al., Proc. SPIE 7969, 79691N (2011).</span> </li> <li id="cite_note-103"><span class="mw-cite-backlink"><b><a href="#cite_ref-103">^</a></b></span> <span class="reference-text">US Patent Application 20070030948.</span> </li> <li id="cite_note-104"><span class="mw-cite-backlink"><b><a href="#cite_ref-104">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://homepage.tudelft.nl/q1d90/FBweb/paraxial%20predesign.pdf">"M. F. Bal et al., Appl. Opt. 42, 2301 (2003)"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=M.+F.+Bal+et+al.%2C+Appl.+Opt.+42%2C+2301+%282003%29&amp;rft_id=http%3A%2F%2Fhomepage.tudelft.nl%2Fq1d90%2FFBweb%2Fparaxial%2520predesign.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-105"><span class="mw-cite-backlink"><b><a href="#cite_ref-105">^</a></b></span> <span class="reference-text">D. M. Williamson, Proc. SPIE 3482, 369 (1998).</span> </li> <li id="cite_note-zeiss-106"><span class="mw-cite-backlink">^ <a href="#cite_ref-zeiss_106-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-zeiss_106-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://16025079.s21d-16.faiusrd.com/0/ABUIABA9GAAgz-HZ3gUo3cmplgU?f=1-P6_CarlZeiss_2018-1018+IWAPS+2018+ZEISS+handout+.pdf">"Carl Zeiss 2018"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Carl+Zeiss+2018&amp;rft_id=http%3A%2F%2F16025079.s21d-16.faiusrd.com%2F0%2FABUIABA9GAAgz-HZ3gUo3cmplgU%3Ff%3D1-P6_CarlZeiss_2018-1018%2BIWAPS%2B2018%2BZEISS%2Bhandout%2B.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-107"><span class="mw-cite-backlink"><b><a href="#cite_ref-107">^</a></b></span> <span class="reference-text">A. V. Pret et al., Proc. SPIE 10809, 108090A (2018).</span> </li> <li id="cite_note-108"><span class="mw-cite-backlink"><b><a href="#cite_ref-108">^</a></b></span> <span class="reference-text">L. van Look et al., Proc. SPIE 10809, 108090M (2018)</span> </li> <li id="cite_note-109"><span class="mw-cite-backlink"><b><a href="#cite_ref-109">^</a></b></span> <span class="reference-text">R-H. Kim et al., Proc. SPIE 9776, 97761R (2016).</span> </li> <li id="cite_note-setten9661-110"><span class="mw-cite-backlink">^ <a href="#cite_ref-setten9661_110-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-setten9661_110-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-setten9661_110-2"><sup><i><b>c</b></i></sup></a></span> <span class="reference-text">E. van Setten et al., Proc. SPIE 9661, 96610G (2015).</span> </li> <li id="cite_note-111"><span class="mw-cite-backlink"><b><a href="#cite_ref-111">^</a></b></span> <span class="reference-text">T. E. Brist and G. E. Bailey, Proc. SPIE 5042, 153 (2003).</span> </li> <li id="cite_note-lfhex-112"><span class="mw-cite-backlink"><b><a href="#cite_ref-lfhex_112-0">^</a></b></span> <span class="reference-text">M. Lim et al., Proc. SPIE 10583, 105830X (2018).</span> </li> <li id="cite_note-113"><span class="mw-cite-backlink"><b><a href="#cite_ref-113">^</a></b></span> <span class="reference-text">G. Zhang et al., Proc. SPIE 5040, 45 (2003).</span> </li> <li id="cite_note-114"><span class="mw-cite-backlink"><b><a href="#cite_ref-114">^</a></b></span> <span class="reference-text"> M. van den Kerkhof et al., Proc. SPIE 12051, 120510B (2022).</span> </li> <li id="cite_note-115"><span class="mw-cite-backlink"><b><a href="#cite_ref-115">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://frederickchen.substack.com/p/euv-pupil-rotation-impact-on-resolution">EUV Pupil Rotation Impact on Resolution</a></span> </li> <li id="cite_note-cross_slit-116"><span class="mw-cite-backlink">^ <a href="#cite_ref-cross_slit_116-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-cross_slit_116-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.linkedin.com/pulse/horizontal-vertical-line-shadowing-across-slit-low-na-frederick-chen">"Horizontal, Vertical, and Slanted Line Shadowing Across Slit in Low-NA and High-NA EUV Lithography Systems"</a>. <i>www.linkedin.com</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.linkedin.com&amp;rft.atitle=Horizontal%2C+Vertical%2C+and+Slanted+Line+Shadowing+Across+Slit+in+Low-NA+and+High-NA+EUV+Lithography+Systems&amp;rft_id=https%3A%2F%2Fwww.linkedin.com%2Fpulse%2Fhorizontal-vertical-line-shadowing-across-slit-low-na-frederick-chen&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-117"><span class="mw-cite-backlink"><b><a href="#cite_ref-117">^</a></b></span> <span class="reference-text">K. Lee et al., J. Microlith/Nanolith. MEMS MOEMS 18, 040501 (2019).</span> </li> <li id="cite_note-118"><span class="mw-cite-backlink"><b><a href="#cite_ref-118">^</a></b></span> <span class="reference-text">K. A. Goldberg et al., Proc. SPIE 5900, 59000G (2005).</span> </li> <li id="cite_note-119"><span class="mw-cite-backlink"><b><a href="#cite_ref-119">^</a></b></span> <span class="reference-text">Y. Liu and Y. Li, Opt. Eng. 55, 095108 (2016).</span> </li> <li id="cite_note-adaptive-120"><span class="mw-cite-backlink">^ <a href="#cite_ref-adaptive_120-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-adaptive_120-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFR.2018" class="citation thesis cs1">R., Saathof (1 December 2018). <i>Adaptive Optics to Counteract Thermal Aberrations: System Design for EUV-Lithography with Sub-nm Precision</i> (Doctoral thesis). Technische Universiteit Delft. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://doi.org/10.4233%2Fuuid%3A1d71e3e8-88ce-4260-aeda-af0ee7675445">10.4233/uuid:1d71e3e8-88ce-4260-aeda-af0ee7675445</a></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Adissertation&amp;rft.title=Adaptive+Optics+to+Counteract+Thermal+Aberrations%3A+System+Design+for+EUV-Lithography+with+Sub-nm+Precision&amp;rft.degree=Doctoral&amp;rft.inst=Technische+Universiteit+Delft&amp;rft.date=2018-12-01&amp;rft_id=info%3Adoi%2F10.4233%2Fuuid%3A1d71e3e8-88ce-4260-aeda-af0ee7675445&amp;rft.aulast=R.&amp;rft.aufirst=Saathof&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-121"><span class="mw-cite-backlink"><b><a href="#cite_ref-121">^</a></b></span> <span class="reference-text">T. S. Jota and R. A. Chipman, Proc. SPIE 9776, 977617 (2016).</span> </li> <li id="cite_note-litho2017-122"><span class="mw-cite-backlink">^ <a href="#cite_ref-litho2017_122-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-litho2017_122-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-litho2017_122-2"><sup><i><b>c</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20181201095015/https://nikonereview.com/2017/mentor-graphics-director-details-challenges-for-edge-placement-control-in-2020/">"Mentor Graphics Director Details Challenges for Edge Placement Control in 2020"</a>. <i>nikonereview.com</i>. Archived from <a rel="nofollow" class="external text" href="https://nikonereview.com/2017/mentor-graphics-director-details-challenges-for-edge-placement-control-in-2020/">the original</a> on 2018-12-01<span class="reference-accessdate">. Retrieved <span class="nowrap">2017-10-24</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=nikonereview.com&amp;rft.atitle=Mentor+Graphics+Director+Details+Challenges+for+Edge+Placement+Control+in+2020&amp;rft_id=https%3A%2F%2Fnikonereview.com%2F2017%2Fmentor-graphics-director-details-challenges-for-edge-placement-control-in-2020%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-123"><span class="mw-cite-backlink"><b><a href="#cite_ref-123">^</a></b></span> <span class="reference-text">M. Habets et al., Proc. SPIE 9776, 97762D (2016).</span> </li> <li id="cite_note-124"><span class="mw-cite-backlink"><b><a href="#cite_ref-124">^</a></b></span> <span class="reference-text">M. Bayraktar et al., Opt. Exp. 22, 30623 (2014).</span> </li> <li id="cite_note-125"><span class="mw-cite-backlink"><b><a href="#cite_ref-125">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.youtube.com/watch?v=xzYHovuzMx8">"Nonideal Imaging in EUV Lithography Systems"</a>. 11 September 2021 &#8211; via www.youtube.com.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Nonideal+Imaging+in+EUV+Lithography+Systems&amp;rft.date=2021-09-11&amp;rft_id=https%3A%2F%2Fwww.youtube.com%2Fwatch%3Fv%3DxzYHovuzMx8&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-126"><span class="mw-cite-backlink"><b><a href="#cite_ref-126">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFGeorgeNauleauRekawaGullikson2009" class="citation journal cs1">George, Simi A.; Nauleau, Patrick; Rekawa, Senajith; Gullikson, Eric; Kemp, Charles D. (February 23, 2009). Schellenberg, Frank M; La Fontaine, Bruno M (eds.). <a rel="nofollow" class="external text" href="https://digital.library.unt.edu/ark:/67531/metadc929666/">"Out-of-band exposure characterization with the SEMATECH Berkeley 0.3-NA microfield exposure tool"</a>. <i>Journal of Micro/Nanolithography, MEMS, and MOEMS</i>. Alternative Lithographic Technologies. <b>7271</b>: 72710X. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2009SPIE.7271E..0XG">2009SPIE.7271E..0XG</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1117%2F12.814429">10.1117/12.814429</a>. <a href="/wiki/OSTI_(identifier)" class="mw-redirect" title="OSTI (identifier)">OSTI</a>&#160;<a rel="nofollow" class="external text" href="https://www.osti.gov/biblio/960237">960237</a>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:55241073">55241073</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Journal+of+Micro%2FNanolithography%2C+MEMS%2C+and+MOEMS&amp;rft.atitle=Out-of-band+exposure+characterization+with+the+SEMATECH+Berkeley+0.3-NA+microfield+exposure+tool&amp;rft.volume=7271&amp;rft.pages=72710X&amp;rft.date=2009-02-23&amp;rft_id=info%3Adoi%2F10.1117%2F12.814429&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A55241073%23id-name%3DS2CID&amp;rft_id=https%3A%2F%2Fwww.osti.gov%2Fbiblio%2F960237%23id-name%3DOSTI&amp;rft_id=info%3Abibcode%2F2009SPIE.7271E..0XG&amp;rft.aulast=George&amp;rft.aufirst=Simi+A.&amp;rft.au=Nauleau%2C+Patrick&amp;rft.au=Rekawa%2C+Senajith&amp;rft.au=Gullikson%2C+Eric&amp;rft.au=Kemp%2C+Charles+D.&amp;rft_id=https%3A%2F%2Fdigital.library.unt.edu%2Fark%3A%2F67531%2Fmetadc929666%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-chao-127"><span class="mw-cite-backlink">^ <a href="#cite_ref-chao_127-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-chao_127-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-chao_127-2"><sup><i><b>c</b></i></sup></a> <a href="#cite_ref-chao_127-3"><sup><i><b>d</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www2.eecs.berkeley.edu/Pubs/TechRpts/2013/EECS-2013-56.html">"Measurement and characterization of EUV mask performance at high-NA &#124; EECS at UC Berkeley"</a>. <i>www2.eecs.berkeley.edu</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www2.eecs.berkeley.edu&amp;rft.atitle=Measurement+and+characterization+of+EUV+mask+performance+at+high-NA+%26%23124%3B+EECS+at+UC+Berkeley&amp;rft_id=https%3A%2F%2Fwww2.eecs.berkeley.edu%2FPubs%2FTechRpts%2F2013%2FEECS-2013-56.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-128"><span class="mw-cite-backlink"><b><a href="#cite_ref-128">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20200619053056/http://www1.semi.org/eu/sites/semi.org/files/events/presentations/01_Dirk%20Juergens_CarlZeiss.pdf">"Carl Zeiss SMT GMbH, Semicon Europa, Nov. 16 2018"</a> <span class="cs1-format">(PDF)</span>. Archived from <a rel="nofollow" class="external text" href="http://www1.semi.org/eu/sites/semi.org/files/events/presentations/01_Dirk%20Juergens_CarlZeiss.pdf">the original</a> <span class="cs1-format">(PDF)</span> on 19 June 2020<span class="reference-accessdate">. Retrieved <span class="nowrap">17 May</span> 2023</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Carl+Zeiss+SMT+GMbH%2C+Semicon+Europa%2C+Nov.+16+2018.&amp;rft_id=http%3A%2F%2Fwww1.semi.org%2Feu%2Fsites%2Fsemi.org%2Ffiles%2Fevents%2Fpresentations%2F01_Dirk%2520Juergens_CarlZeiss.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-lbl-129"><span class="mw-cite-backlink">^ <a href="#cite_ref-lbl_129-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-lbl_129-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://henke.lbl.gov/optical_constants/multi2.html">"Multilayer Reflectivity"</a>. <i>henke.lbl.gov</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=henke.lbl.gov&amp;rft.atitle=Multilayer+Reflectivity&amp;rft_id=http%3A%2F%2Fhenke.lbl.gov%2Foptical_constants%2Fmulti2.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-130"><span class="mw-cite-backlink"><b><a href="#cite_ref-130">^</a></b></span> <span class="reference-text">Y. Nakajima et al., Proc. SPIE 7379, 73790P (2009).</span> </li> <li id="cite_note-davydova-131"><span class="mw-cite-backlink">^ <a href="#cite_ref-davydova_131-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-davydova_131-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">N. Davydova et al., Proc. SPIE 8166, 816624 (2011).</span> </li> <li id="cite_note-132"><span class="mw-cite-backlink"><b><a href="#cite_ref-132">^</a></b></span> <span class="reference-text">G. J. Stagaman et al., Proc. SPIE 2726, 146 (1996).</span> </li> <li id="cite_note-133"><span class="mw-cite-backlink"><b><a href="#cite_ref-133">^</a></b></span> <span class="reference-text">M.F. Ravet et al., Proc. SPIE 5250, 99 (2004).</span> </li> <li id="cite_note-134"><span class="mw-cite-backlink"><b><a href="#cite_ref-134">^</a></b></span> <span class="reference-text">F. Scholze et al., Proc. SPIE 6151, 615137 (2006).</span> </li> <li id="cite_note-135"><span class="mw-cite-backlink"><b><a href="#cite_ref-135">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFYakshinKozhevnikovZoethoutLouis2010" class="citation journal cs1">Yakshin, A. E.; Kozhevnikov, I. V.; Zoethout, E.; Louis, E.; Bijkerk, F. (2010). <a rel="nofollow" class="external text" href="https://doi.org/10.1364%2FOE.18.006957">"&#91;PDF&#93; Properties of broadband depth-graded multilayer mirrors for EUV optical systems. &#124; Semantic Scholar"</a>. <i>Optics Express</i>. <b>18</b> (7): 6957–71. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://doi.org/10.1364%2FOE.18.006957">10.1364/OE.18.006957</a></span>. <a href="/wiki/PMID_(identifier)" class="mw-redirect" title="PMID (identifier)">PMID</a>&#160;<a rel="nofollow" class="external text" href="https://pubmed.ncbi.nlm.nih.gov/20389715">20389715</a>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:16163302">16163302</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Optics+Express&amp;rft.atitle=%5BPDF%5D+Properties+of+broadband+depth-graded+multilayer+mirrors+for+EUV+optical+systems.+%26%23124%3B+Semantic+Scholar&amp;rft.volume=18&amp;rft.issue=7&amp;rft.pages=6957-71&amp;rft.date=2010&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A16163302%23id-name%3DS2CID&amp;rft_id=info%3Apmid%2F20389715&amp;rft_id=info%3Adoi%2F10.1364%2FOE.18.006957&amp;rft.aulast=Yakshin&amp;rft.aufirst=A.+E.&amp;rft.au=Kozhevnikov%2C+I.+V.&amp;rft.au=Zoethout%2C+E.&amp;rft.au=Louis%2C+E.&amp;rft.au=Bijkerk%2C+F.&amp;rft_id=https%3A%2F%2Fdoi.org%2F10.1364%252FOE.18.006957&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-136"><span class="mw-cite-backlink"><b><a href="#cite_ref-136">^</a></b></span> <span class="reference-text">M. Sugawara et al., J. Micro/Nanolith. MEMS MOEMS 2, 27–33 (2003).</span> </li> <li id="cite_note-137"><span class="mw-cite-backlink"><b><a href="#cite_ref-137">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://opg.optica.org/static307.htm?da=1&amp;id=360283&amp;seq=0&amp;mobile=no">"OPG &#124; PDF no longer available"</a>. <i>opg.optica.org</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=opg.optica.org&amp;rft.atitle=OPG+%26%23124%3B+PDF+no+longer+available&amp;rft_id=https%3A%2F%2Fopg.optica.org%2Fstatic307.htm%3Fda%3D1%26id%3D360283%26seq%3D0%26mobile%3Dno&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-138"><span class="mw-cite-backlink"><b><a href="#cite_ref-138">^</a></b></span> <span class="reference-text">C. S. Choi <i>et al.</i>, Proc. SPIE 9235, 92351R (2014).</span> </li> <li id="cite_note-139"><span class="mw-cite-backlink"><b><a href="#cite_ref-139">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="http://www.lithoguru.com/textbook/Chapter10_Figures.ppt">Fundamental Principles of Optical Lithography</a> Chris A. Mack, p. 37.</span> </li> <li id="cite_note-140"><span class="mw-cite-backlink"><b><a href="#cite_ref-140">^</a></b></span> <span class="reference-text">C. A. Mack, Microlith. World, 9–4, 25 (2000)</span> </li> <li id="cite_note-141"><span class="mw-cite-backlink"><b><a href="#cite_ref-141">^</a></b></span> <span class="reference-text">J. S. Petersen et al., Proc. SPIE 3546, 288 (1998).</span> </li> <li id="cite_note-142"><span class="mw-cite-backlink"><b><a href="#cite_ref-142">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20170205182557/http://www.sematech.org/meetings/archives/litho/8059/poster/MA-P17-Kim.pdf">"Optical and Physical Characteristics of EUV Phase Shift Masks"</a> <span class="cs1-format">(PDF)</span>. Archived from <a rel="nofollow" class="external text" href="http://www.sematech.org/meetings/archives/litho/8059/poster/MA-P17-Kim.pdf">the original</a> <span class="cs1-format">(PDF)</span> on 2017-02-05<span class="reference-accessdate">. Retrieved <span class="nowrap">2017-02-05</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Optical+and+Physical+Characteristics+of+EUV+Phase+Shift+Masks&amp;rft_id=http%3A%2F%2Fwww.sematech.org%2Fmeetings%2Farchives%2Flitho%2F8059%2Fposter%2FMA-P17-Kim.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-143"><span class="mw-cite-backlink"><b><a href="#cite_ref-143">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://www.euvlitho.com/2011/P19.pdf">Thin Half-tone Phase Shift Mask Stack for Extreme Ultraviolet Lithography</a> Inhwan Lee, Sangsul Lee, Jae Uk Lee, Chang Young Jeong2, Sunyoung Koo, Changmoon Lim, and Jinho Ahn</span> </li> <li id="cite_note-144"><span class="mw-cite-backlink"><b><a href="#cite_ref-144">^</a></b></span> <span class="reference-text">L. Yuan et al., Proc. SPIE 8322, 832229 (2012).</span> </li> <li id="cite_note-2014euvl-145"><span class="mw-cite-backlink">^ <a href="#cite_ref-2014euvl_145-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-2014euvl_145-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">E. van Setten et al., Intl. Symp. on EUV Lithography, 2014.</span> </li> <li id="cite_note-146"><span class="mw-cite-backlink"><b><a href="#cite_ref-146">^</a></b></span> <span class="reference-text">V. M. Blanco Carballo et al., Proc. SPIE 10143, 1014318 (2017).</span> </li> <li id="cite_note-setten9231-147"><span class="mw-cite-backlink"><b><a href="#cite_ref-setten9231_147-0">^</a></b></span> <span class="reference-text">E. van Setten et al., Proc. SPIE 9231, 923108 (2014).</span> </li> <li id="cite_note-148"><span class="mw-cite-backlink"><b><a href="#cite_ref-148">^</a></b></span> <span class="reference-text">F. Jiang <i>et al.</i>, Proc. SPIE vol. 9422, 94220U (2015).</span> </li> <li id="cite_note-af-149"><span class="mw-cite-backlink">^ <a href="#cite_ref-af_149-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-af_149-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-af_149-2"><sup><i><b>c</b></i></sup></a> <a href="#cite_ref-af_149-3"><sup><i><b>d</b></i></sup></a></span> <span class="reference-text">I. Mochi <i>et al.</i>, Proc. SPIE 9776, 97761S (2015).</span> </li> <li id="cite_note-150"><span class="mw-cite-backlink"><b><a href="#cite_ref-150">^</a></b></span> <span class="reference-text">J. G. Garofalo et al., Proc. SPIE 2440, 302 (1995).</span> </li> <li id="cite_note-151"><span class="mw-cite-backlink"><b><a href="#cite_ref-151">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.jstage.jst.go.jp/article/photopolymer/26/5/26_617/_pdf">"Understanding EUV Shot Noise"</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Understanding+EUV+Shot+Noise&amp;rft_id=https%3A%2F%2Fwww.jstage.jst.go.jp%2Farticle%2Fphotopolymer%2F26%2F5%2F26_617%2F_pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-152"><span class="mw-cite-backlink"><b><a href="#cite_ref-152">^</a></b></span> <span class="reference-text">D. Civay et al., Proc. SPIE 9048, 90483D (2014).</span> </li> <li id="cite_note-153"><span class="mw-cite-backlink"><b><a href="#cite_ref-153">^</a></b></span> <span class="reference-text">A.Burov et al., Proc. SPIE 11518, 115180Y (2020).</span> </li> <li id="cite_note-154"><span class="mw-cite-backlink"><b><a href="#cite_ref-154">^</a></b></span> <span class="reference-text">A-Y. Je <i>et al.</i>, Proc. SPIE 7823, 78230Z (2010).</span> </li> <li id="cite_note-155"><span class="mw-cite-backlink"><b><a href="#cite_ref-155">^</a></b></span> <span class="reference-text">T. Huynh-Bao <i>et al.</i>, Proc. SPIE 9781, 978102 (2016).</span> </li> <li id="cite_note-156"><span class="mw-cite-backlink"><b><a href="#cite_ref-156">^</a></b></span> <span class="reference-text">V. Philipsen et al., Proc. SPIE 9235, 92350J (2014).</span> </li> <li id="cite_note-auto2-157"><span class="mw-cite-backlink">^ <a href="#cite_ref-auto2_157-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-auto2_157-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">W. Gillijns et al., Proc. SPIE 10143, 1014314 (2017).</span> </li> <li id="cite_note-pole_aberration-158"><span class="mw-cite-backlink">^ <a href="#cite_ref-pole_aberration_158-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-pole_aberration_158-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">Y-G Wang et al., Proc. SPIE 10143, 1014320 (2017).</span> </li> <li id="cite_note-159"><span class="mw-cite-backlink"><b><a href="#cite_ref-159">^</a></b></span> <span class="reference-text">US Patent 9715170.</span> </li> <li id="cite_note-160"><span class="mw-cite-backlink"><b><a href="#cite_ref-160">^</a></b></span> <span class="reference-text">S. Nagahara et al., Proc. SPIE 7640, 76401H (2010).</span> </li> <li id="cite_note-161"><span class="mw-cite-backlink"><b><a href="#cite_ref-161">^</a></b></span> <span class="reference-text">L. Pang et al., Proc. SPIE 7520, 75200X (2009).</span> </li> <li id="cite_note-162"><span class="mw-cite-backlink"><b><a href="#cite_ref-162">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFHsuLiu2017" class="citation journal cs1">Hsu, Stephen D.; Liu, Jingjing (1 January 2017). "Challenges of anamorphic high-NA lithography and mask making". <i>Advanced Optical Technologies</i>. <b>6</b> (3–4): 293. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2017AdOT....6..293H">2017AdOT....6..293H</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1515%2Faot-2017-0024">10.1515/aot-2017-0024</a>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:67056068">67056068</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Advanced+Optical+Technologies&amp;rft.atitle=Challenges+of+anamorphic+high-NA+lithography+and+mask+making&amp;rft.volume=6&amp;rft.issue=3%E2%80%934&amp;rft.pages=293&amp;rft.date=2017-01-01&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A67056068%23id-name%3DS2CID&amp;rft_id=info%3Adoi%2F10.1515%2Faot-2017-0024&amp;rft_id=info%3Abibcode%2F2017AdOT....6..293H&amp;rft.aulast=Hsu&amp;rft.aufirst=Stephen+D.&amp;rft.au=Liu%2C+Jingjing&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-163"><span class="mw-cite-backlink"><b><a href="#cite_ref-163">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFZhangLiWangCheng2021" class="citation journal cs1">Zhang, Zinan; Li, Sikun; Wang, Xiangzhao; Cheng, Wei; Qi, Yuejing (2021). <a rel="nofollow" class="external text" href="https://doi.org/10.1364%2FOE.418242">"Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm"</a>. <i>Optics Express</i>. <b>29</b> (4): 5448–5465. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2021OExpr..29.5448Z">2021OExpr..29.5448Z</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://doi.org/10.1364%2FOE.418242">10.1364/OE.418242</a></span>. <a href="/wiki/PMID_(identifier)" class="mw-redirect" title="PMID (identifier)">PMID</a>&#160;<a rel="nofollow" class="external text" href="https://pubmed.ncbi.nlm.nih.gov/33726081">33726081</a>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:232263498">232263498</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Optics+Express&amp;rft.atitle=Source+mask+optimization+for+extreme-ultraviolet+lithography+based+on+thick+mask+model+and+social+learning+particle+swarm+optimization+algorithm&amp;rft.volume=29&amp;rft.issue=4&amp;rft.pages=5448-5465&amp;rft.date=2021&amp;rft_id=info%3Adoi%2F10.1364%2FOE.418242&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A232263498%23id-name%3DS2CID&amp;rft_id=info%3Apmid%2F33726081&amp;rft_id=info%3Abibcode%2F2021OExpr..29.5448Z&amp;rft.aulast=Zhang&amp;rft.aufirst=Zinan&amp;rft.au=Li%2C+Sikun&amp;rft.au=Wang%2C+Xiangzhao&amp;rft.au=Cheng%2C+Wei&amp;rft.au=Qi%2C+Yuejing&amp;rft_id=https%3A%2F%2Fdoi.org%2F10.1364%252FOE.418242&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-164"><span class="mw-cite-backlink"><b><a href="#cite_ref-164">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.euvlitho.com/2018/P62.pdf">"IMEC EUVL 2018 Workshop"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=IMEC+EUVL+2018+Workshop&amp;rft_id=https%3A%2F%2Fwww.euvlitho.com%2F2018%2FP62.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-165"><span class="mw-cite-backlink"><b><a href="#cite_ref-165">^</a></b></span> <span class="reference-text">C. Krautschik et al., Proc. SPIE 4343, 392 (2001).</span> </li> <li id="cite_note-166"><span class="mw-cite-backlink"><b><a href="#cite_ref-166">^</a></b></span> <span class="reference-text">A. Erdmann, P. Evanschitzky, and T. Fuhner, Proc. SPIE 7271, 72711E (2009).</span> </li> <li id="cite_note-167"><span class="mw-cite-backlink"><b><a href="#cite_ref-167">^</a></b></span> <span class="reference-text">A. Erdmann et al., J. Micro/Nanolith. MEMS MOEMS 15, 021205 (2016).</span> </li> <li id="cite_note-168"><span class="mw-cite-backlink"><b><a href="#cite_ref-168">^</a></b></span> <span class="reference-text">M. Burkhardt and A. Raghunathan, Proc. SPIE 9422, 94220X (2015).</span> </li> <li id="cite_note-169"><span class="mw-cite-backlink"><b><a href="#cite_ref-169">^</a></b></span> <span class="reference-text">Z. Zhu et al., Proc. SPIE 5037, 494 (2003)</span> </li> <li id="cite_note-170"><span class="mw-cite-backlink"><b><a href="#cite_ref-170">^</a></b></span> <span class="reference-text">V. Philipsen et al., Proc. SPIE 10143, 1014310 (2017).</span> </li> <li id="cite_note-171"><span class="mw-cite-backlink"><b><a href="#cite_ref-171">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFNaulleauRammelooCainDean2006" class="citation journal cs1">Naulleau, Patrick P.; Rammeloo, Clemens; Cain, Jason P.; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; La Fontaine, Bruno; Pawloski, Adam R.; Larson, Carl; Wallraff, Greg (2006). Lercel, Michael J (ed.). "Investigation of the Current Resolution Limits of Advanced Extreme Ultraviolet (EUV) Resists". <i>Emerging Lithographic Technologies X</i>. <b>6151</b>: 289. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2006SPIE.6151..289N">2006SPIE.6151..289N</a>. <a href="/wiki/CiteSeerX_(identifier)" class="mw-redirect" title="CiteSeerX (identifier)">CiteSeerX</a>&#160;<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.215.7131">10.1.1.215.7131</a></span>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1117%2F12.657005">10.1117/12.657005</a>. <a href="/wiki/S2CID_(identifier)" class="mw-redirect" title="S2CID (identifier)">S2CID</a>&#160;<a rel="nofollow" class="external text" href="https://api.semanticscholar.org/CorpusID:97250792">97250792</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Emerging+Lithographic+Technologies+X&amp;rft.atitle=Investigation+of+the+Current+Resolution+Limits+of+Advanced+Extreme+Ultraviolet+%28EUV%29+Resists&amp;rft.volume=6151&amp;rft.pages=289&amp;rft.date=2006&amp;rft_id=https%3A%2F%2Fciteseerx.ist.psu.edu%2Fviewdoc%2Fsummary%3Fdoi%3D10.1.1.215.7131%23id-name%3DCiteSeerX&amp;rft_id=https%3A%2F%2Fapi.semanticscholar.org%2FCorpusID%3A97250792%23id-name%3DS2CID&amp;rft_id=info%3Adoi%2F10.1117%2F12.657005&amp;rft_id=info%3Abibcode%2F2006SPIE.6151..289N&amp;rft.aulast=Naulleau&amp;rft.aufirst=Patrick+P.&amp;rft.au=Rammeloo%2C+Clemens&amp;rft.au=Cain%2C+Jason+P.&amp;rft.au=Dean%2C+Kim&amp;rft.au=Denham%2C+Paul&amp;rft.au=Goldberg%2C+Kenneth+A.&amp;rft.au=Hoef%2C+Brian&amp;rft.au=La+Fontaine%2C+Bruno&amp;rft.au=Pawloski%2C+Adam+R.&amp;rft.au=Larson%2C+Carl&amp;rft.au=Wallraff%2C+Greg&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-172"><span class="mw-cite-backlink"><b><a href="#cite_ref-172">^</a></b></span> <span class="reference-text">A. Erdmann et al., J. Micro/Nanolith. MEMS MOEMS 15(2), 021205 (2016).</span> </li> <li id="cite_note-173"><span class="mw-cite-backlink"><b><a href="#cite_ref-173">^</a></b></span> <span class="reference-text">J. Finders et al., Proc. SPIE 9776, 97761P (2016).</span> </li> <li id="cite_note-174"><span class="mw-cite-backlink"><b><a href="#cite_ref-174">^</a></b></span> <span class="reference-text">D. Rio et al, Proc. SPIE 10809, 108090N (2018).</span> </li> <li id="cite_note-175"><span class="mw-cite-backlink"><b><a href="#cite_ref-175">^</a></b></span> <span class="reference-text">C-H. Chang et al., Proc. SPIE 5377, 902 (2004).</span> </li> <li id="cite_note-176"><span class="mw-cite-backlink"><b><a href="#cite_ref-176">^</a></b></span> <span class="reference-text">T. Devoivre et al., MTDT 2002.</span> </li> <li id="cite_note-177"><span class="mw-cite-backlink"><b><a href="#cite_ref-177">^</a></b></span> <span class="reference-text">L. C. Choo et al., Proc. SPIE vol. 4000, 1193 (2000).</span> </li> <li id="cite_note-178"><span class="mw-cite-backlink"><b><a href="#cite_ref-178">^</a></b></span> <span class="reference-text">J. Word and K. Sakajiri, Proc. SPIE 6156, 61561I (2006).</span> </li> <li id="cite_note-179"><span class="mw-cite-backlink"><b><a href="#cite_ref-179">^</a></b></span> <span class="reference-text">T. Winkler et al., Prod. SPIE 5754, 1169 (2004).</span> </li> <li id="cite_note-180"><span class="mw-cite-backlink"><b><a href="#cite_ref-180">^</a></b></span> <span class="reference-text">Y. Borodovsky et al., Proc. SPIE 4754, 1 (2002).</span> </li> <li id="cite_note-181"><span class="mw-cite-backlink"><b><a href="#cite_ref-181">^</a></b></span> <span class="reference-text">S-S. Yu et al., Proc. SPIE 8679, 86791L (2013).</span> </li> <li id="cite_note-3dattpsm-182"><span class="mw-cite-backlink">^ <a href="#cite_ref-3dattpsm_182-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-3dattpsm_182-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">A. Erdmann et al., Proc. SPIE 10583, 1058312 (2018).</span> </li> <li id="cite_note-183"><span class="mw-cite-backlink"><b><a href="#cite_ref-183">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.linkedin.com/pulse/phase-shifting-masks-nils-improvement-handicap-euv-frederick-chen">"Phase-Shifting Masks for NILS Improvement - A Handicap For EUV?"</a>. <i>www.linkedin.com</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.linkedin.com&amp;rft.atitle=Phase-Shifting+Masks+for+NILS+Improvement+-+A+Handicap+For+EUV%3F&amp;rft_id=https%3A%2F%2Fwww.linkedin.com%2Fpulse%2Fphase-shifting-masks-nils-improvement-handicap-euv-frederick-chen&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-184"><span class="mw-cite-backlink"><b><a href="#cite_ref-184">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.euvlitho.com/2016/P51.pdf">"Eigenmode analysis of EM fields in EUV masks"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Eigenmode+analysis+of+EM+fields+in+EUV+masks&amp;rft_id=https%3A%2F%2Fwww.euvlitho.com%2F2016%2FP51.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-185"><span class="mw-cite-backlink"><b><a href="#cite_ref-185">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.euvlitho.com/2017/P37.pdf">"Ultra-high efficiency EUV etched phase-shift mask"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Ultra-high+efficiency+EUV+etched+phase-shift+mask&amp;rft_id=https%3A%2F%2Fwww.euvlitho.com%2F2017%2FP37.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-186"><span class="mw-cite-backlink"><b><a href="#cite_ref-186">^</a></b></span> <span class="reference-text">Naulleau, P., Anderson, C.N., Baclea-an, L.M., Chan, D., Denham, P., George, S., Goldberg, K.A., Hoef, B., Jones, G., Koh, C. and La Fontaine, B., 2010, March. The SEMATECH Berkeley MET pushing EUV development beyond 22nm half pitch. In Extreme Ultraviolet (EUV) Lithography (Vol. 7636, pp. 530-538). SPIE.</span> </li> <li id="cite_note-187"><span class="mw-cite-backlink"><b><a href="#cite_ref-187">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.jstage.jst.go.jp/article/photopolymer/26/5/26_625/_pdf">". Torok et al., "Secondary Electrons in EUV Lithography", J. Photopol. Sci. and Tech., 26, 625 (2013)"</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=.+Torok+et+al.%2C+%22Secondary+Electrons+in+EUV+Lithography%22%2C+J.+Photopol.+Sci.+and+Tech.%2C+26%2C+625+%282013%29.&amp;rft_id=https%3A%2F%2Fwww.jstage.jst.go.jp%2Farticle%2Fphotopolymer%2F26%2F5%2F26_625%2F_pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-188"><span class="mw-cite-backlink"><b><a href="#cite_ref-188">^</a></b></span> <span class="reference-text">K. Ishii and T. Matsuda, Jpn. J. Appl. Phys. 29, 2212 (1990).</span> </li> <li id="cite_note-189"><span class="mw-cite-backlink"><b><a href="#cite_ref-189">^</a></b></span> <span class="reference-text">A. Thete et al., Proc. SPIE 9422, 94220A (2015).</span> </li> <li id="cite_note-190"><span class="mw-cite-backlink"><b><a href="#cite_ref-190">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.rug.nl/research/zernike/education/topmasternanoscience/programme-documents/ns200thesis/ns200_2014_sun_b.pdf">"B. Sun thesis, p. 34"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=B.+Sun+thesis%2C+p.+34&amp;rft_id=https%3A%2F%2Fwww.rug.nl%2Fresearch%2Fzernike%2Feducation%2Ftopmasternanoscience%2Fprogramme-documents%2Fns200thesis%2Fns200_2014_sun_b.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-191"><span class="mw-cite-backlink"><b><a href="#cite_ref-191">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20171021154706/https://www2.eecs.berkeley.edu/Pubs/TechRpts/2017/EECS-2017-119.pdf">"S. Bhattarai, Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography, 2017, p. 100"</a> <span class="cs1-format">(PDF)</span>. Archived from <a rel="nofollow" class="external text" href="https://www2.eecs.berkeley.edu/Pubs/TechRpts/2017/EECS-2017-119.pdf">the original</a> <span class="cs1-format">(PDF)</span> on 2017-10-21<span class="reference-accessdate">. Retrieved <span class="nowrap">2018-09-16</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=S.+Bhattarai%2C+Study+of+Line+Edge+Roughness+and+Interactions+of+Secondary+Electrons+in+Photoresists+for+EUV+Lithography%2C+2017%2C+p.+100.&amp;rft_id=https%3A%2F%2Fwww2.eecs.berkeley.edu%2FPubs%2FTechRpts%2F2017%2FEECS-2017-119.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-192"><span class="mw-cite-backlink"><b><a href="#cite_ref-192">^</a></b></span> <span class="reference-text">D. D. Simone et al., Proc. SPIE 10143, 101430R (2017).</span> </li> <li id="cite_note-193"><span class="mw-cite-backlink"><b><a href="#cite_ref-193">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.youtube.com/watch?v=fe5F5MuIAhc">"Defocus Impact on Electron Blur in EUV Lithography"</a>. 4 March 2023 &#8211; via www.youtube.com.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Defocus+Impact+on+Electron+Blur+in+EUV+Lithography&amp;rft.date=2023-03-04&amp;rft_id=https%3A%2F%2Fwww.youtube.com%2Fwatch%3Fv%3Dfe5F5MuIAhc&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-194"><span class="mw-cite-backlink"><b><a href="#cite_ref-194">^</a></b></span> <span class="reference-text">M.I.Jacobs et al., Phys. Chem. Chem. Phys. 19(20) (2017).</span> </li> <li id="cite_note-195"><span class="mw-cite-backlink"><b><a href="#cite_ref-195">^</a></b></span> <span class="reference-text">P. Theofanis et al., Proc. SPIE 11323, 113230I (2020).</span> </li> <li id="cite_note-196"><span class="mw-cite-backlink"><b><a href="#cite_ref-196">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.linkedin.com/pulse/electron-blur-impact-euv-resist-films-from-interface-reflection-chen">"Electron Blur Impact in EUV Resist Films from Interface Reflection"</a>. <i>www.linkedin.com</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.linkedin.com&amp;rft.atitle=Electron+Blur+Impact+in+EUV+Resist+Films+from+Interface+Reflection&amp;rft_id=https%3A%2F%2Fwww.linkedin.com%2Fpulse%2Felectron-blur-impact-euv-resist-films-from-interface-reflection-chen&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-197"><span class="mw-cite-backlink"><b><a href="#cite_ref-197">^</a></b></span> <span class="reference-text"> O. Yu et al., J. Elec. Spec. and Rel. Phenom. 241, 146824 (2020).</span> </li> <li id="cite_note-198"><span class="mw-cite-backlink"><b><a href="#cite_ref-198">^</a></b></span> <span class="reference-text">N. Miyahara et al., Proc. SPIE 12498, 124981E (2023)</span> </li> <li id="cite_note-199"><span class="mw-cite-backlink"><b><a href="#cite_ref-199">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://www.youtube.com/watch?v=AJUKJdFI1So">Defocus Aggravates Stochastic EUV Images</a></span> </li> <li id="cite_note-200"><span class="mw-cite-backlink"><b><a href="#cite_ref-200">^</a></b></span> <span class="reference-text">J. Hollenshead and L. Klebanoff, <i>J. Vac. Sci. &amp; Tech. B</i> 24, pp. 118–130 (2006).</span> </li> <li id="cite_note-201"><span class="mw-cite-backlink"><b><a href="#cite_ref-201">^</a></b></span> <span class="reference-text">G. Denbeaux <i>et al.</i>, 2007 European Mask and Lithography Conference.</span> </li> <li id="cite_note-202"><span class="mw-cite-backlink"><b><a href="#cite_ref-202">^</a></b></span> <span class="reference-text">I. Pollentier <i>et al.</i>, Proc. SPIE vol. 7972, 797208 (2011).</span> </li> <li id="cite_note-203"><span class="mw-cite-backlink"><b><a href="#cite_ref-203">^</a></b></span> <span class="reference-text">G. Denbeaux, 2009 Intl. Workshop on EUV Lithography.</span> </li> <li id="cite_note-204"><span class="mw-cite-backlink"><b><a href="#cite_ref-204">^</a></b></span> <span class="reference-text">J. Y. Park <i>et al.</i>, J. Vac. Sci. Tech. B29, 041602 (2011).</span> </li> <li id="cite_note-sn_clean-205"><span class="mw-cite-backlink">^ <a href="#cite_ref-sn_clean_205-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-sn_clean_205-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-sn_clean_205-2"><sup><i><b>c</b></i></sup></a> <a href="#cite_ref-sn_clean_205-3"><sup><i><b>d</b></i></sup></a> <a href="#cite_ref-sn_clean_205-4"><sup><i><b>e</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFCrijns2014" class="citation web cs1">Crijns, V. M. C. (2014). <a rel="nofollow" class="external text" href="https://pure.tue.nl/ws/portalfiles/portal/46963189/774678-1.pdf">"Hydrogen atom based tin cleaning"</a> <span class="cs1-format">(PDF)</span>. <i>Eindhoven University of Technology</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Eindhoven+University+of+Technology&amp;rft.atitle=Hydrogen+atom+based+tin+cleaning&amp;rft.date=2014&amp;rft.aulast=Crijns&amp;rft.aufirst=V.+M.+C.&amp;rft_id=https%3A%2F%2Fpure.tue.nl%2Fws%2Fportalfiles%2Fportal%2F46963189%2F774678-1.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-tvdeven-206"><span class="mw-cite-backlink">^ <a href="#cite_ref-tvdeven_206-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-tvdeven_206-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">T. Van de Ven et al., J. Appl. Phys. 123, 063301 (2018).</span> </li> <li id="cite_note-207"><span class="mw-cite-backlink"><b><a href="#cite_ref-207">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://www.euvlitho.com/2018/S47.pdf">Computer modeling of contamination and cleaning of EUV source optics</a>. RnD-ISAN/EUV Labs &amp; ISTEQ BV.</span> </li> <li id="cite_note-208"><span class="mw-cite-backlink"><b><a href="#cite_ref-208">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="http://eprints.gla.ac.uk/84097/2/84097.pdf">The Denitridation of Nitrides Under Hydrogen</a>.</span> </li> <li id="cite_note-209"><span class="mw-cite-backlink"><b><a href="#cite_ref-209">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://mrlweb.mrl.ucsb.edu/~vandewalle/publications/MRS557,255(1999)-aSiH.pdf">"C. G. van de Walle and B. Tuttle, THEORY OF HYDROGEN INTERACTIONS WITH AMORPHOUS SILICON in <i>Amorphous and Heterogeneous Silicon Thin Films — Fundamentals to Devices</i>, edited by H. M. Branz, R. W. Collins, H. Okamoto, S. Guha, and B. Schropp, MRS Symposia Proceedings, Vol. 557 (MRS, Pittsburgh, Pennsylvania, 1999), p. 255"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=C.+G.+van+de+Walle+and+B.+Tuttle%2C+THEORY+OF+HYDROGEN+INTERACTIONS+WITH+AMORPHOUS+SILICON+in+Amorphous+and+Heterogeneous+Silicon+Thin+Films+%E2%80%94+Fundamentals+to+Devices%2C+edited+by+H.+M.+Branz%2C+R.+W.+Collins%2C+H.+Okamoto%2C+S.+Guha%2C+and+B.+Schropp%2C+MRS+Symposia+Proceedings%2C+Vol.+557+%28MRS%2C+Pittsburgh%2C+Pennsylvania%2C+1999%29%2C+p.+255.&amp;rft_id=http%3A%2F%2Fmrlweb.mrl.ucsb.edu%2F~vandewalle%2Fpublications%2FMRS557%2C255%281999%29-aSiH.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-210"><span class="mw-cite-backlink"><b><a href="#cite_ref-210">^</a></b></span> <span class="reference-text">T. Tanabe, Y. Yamanishi, and S. Imoto, J. Nucl. Mat. 191–194, 439 (1992).</span> </li> <li id="cite_note-bliseuvm-211"><span class="mw-cite-backlink">^ <a href="#cite_ref-bliseuvm_211-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-bliseuvm_211-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.youtube.com/watch?v=FZxzwhBR5Bk">"Hydrogen Blistering in EUV Multilayers"</a>. 6 October 2022 &#8211; via www.youtube.com.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Hydrogen+Blistering+in+EUV+Multilayers&amp;rft.date=2022-10-06&amp;rft_id=https%3A%2F%2Fwww.youtube.com%2Fwatch%3Fv%3DFZxzwhBR5Bk&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-capclean-212"><span class="mw-cite-backlink">^ <a href="#cite_ref-capclean_212-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-capclean_212-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://cpmi.illinois.edu/files/2016/03/In-Situ-Collector-Cleaning-and-EUV-Reflectivity-Restoration-by-Hydrogen-Plasma-for-EUV-Sources.pdf">"D. T. Elg <i>et al.</i>, J. Vac. Sci. Tech. A 34, 021305 (2016)"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=D.+T.+Elg+et+al.%2C+J.+Vac.+Sci.+Tech.+A+34%2C+021305+%282016%29.&amp;rft_id=http%3A%2F%2Fcpmi.illinois.edu%2Ffiles%2F2016%2F03%2FIn-Situ-Collector-Cleaning-and-EUV-Reflectivity-Restoration-by-Hydrogen-Plasma-for-EUV-Sources.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-213"><span class="mw-cite-backlink"><b><a href="#cite_ref-213">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.differ.nl/sites/default/files/attachments/biblio/2014_56992.pdf">"Hydrogen-induced blistering in thin film multilayers"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Hydrogen-induced+blistering+in+thin+film+multilayers&amp;rft_id=https%3A%2F%2Fwww.differ.nl%2Fsites%2Fdefault%2Ffiles%2Fattachments%2Fbiblio%2F2014_56992.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-214"><span class="mw-cite-backlink"><b><a href="#cite_ref-214">^</a></b></span> <span class="reference-text">I-Y. Jang et al., Proc. SPIE 9256, 92560I (2014)</span> </li> <li id="cite_note-215"><span class="mw-cite-backlink"><b><a href="#cite_ref-215">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.msrjournal.com/article_13532_0f1457fd762850932cb8d044b60d3571.pdf">"Hydrogen penetration of Ru and Pd/Ru"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Hydrogen+penetration+of+Ru+and+Pd%2FRu&amp;rft_id=http%3A%2F%2Fwww.msrjournal.com%2Farticle_13532_0f1457fd762850932cb8d044b60d3571.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-216"><span class="mw-cite-backlink"><b><a href="#cite_ref-216">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFPantisanoSchramLiLisoni2006" class="citation journal cs1">Pantisano, L; Schram, Tom; Li, Z; Lisoni, Judit; Pourtois, Geoffrey; De Gendt, Stefan; P. Brunco, D; Akheyar, A; Afanas'ev, V.V.; Shamuilia, Sheron; Stesmans, A (12 June 2006). <a rel="nofollow" class="external text" href="https://www.researchgate.net/publication/253761381">"Ruthenium gate electrodes on SiO2 and HfO2: Sensitivity to hydrogen and oxygen ambients"</a>. <i>Applied Physics Letters</i>. <b>88</b> (24): 243514. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2006ApPhL..88x3514P">2006ApPhL..88x3514P</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1063%2F1.2212288">10.1063/1.2212288</a> &#8211; via ResearchGate.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Applied+Physics+Letters&amp;rft.atitle=Ruthenium+gate+electrodes+on+SiO2+and+HfO2%3A+Sensitivity+to+hydrogen+and+oxygen+ambients&amp;rft.volume=88&amp;rft.issue=24&amp;rft.pages=243514&amp;rft.date=2006-06-12&amp;rft_id=info%3Adoi%2F10.1063%2F1.2212288&amp;rft_id=info%3Abibcode%2F2006ApPhL..88x3514P&amp;rft.aulast=Pantisano&amp;rft.aufirst=L&amp;rft.au=Schram%2C+Tom&amp;rft.au=Li%2C+Z&amp;rft.au=Lisoni%2C+Judit&amp;rft.au=Pourtois%2C+Geoffrey&amp;rft.au=De+Gendt%2C+Stefan&amp;rft.au=P.+Brunco%2C+D&amp;rft.au=Akheyar%2C+A&amp;rft.au=Afanas%27ev%2C+V.V.&amp;rft.au=Shamuilia%2C+Sheron&amp;rft.au=Stesmans%2C+A&amp;rft_id=https%3A%2F%2Fwww.researchgate.net%2Fpublication%2F253761381&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-217"><span class="mw-cite-backlink"><b><a href="#cite_ref-217">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://pubman.mpdl.mpg.de/pubman/item/escidoc:2143692:1/component/escidoc:2143691/alimov.doc">"Hydrogen penetration of boron carbide"</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Hydrogen+penetration+of+boron+carbide&amp;rft_id=http%3A%2F%2Fpubman.mpdl.mpg.de%2Fpubman%2Fitem%2Fescidoc%3A2143692%3A1%2Fcomponent%2Fescidoc%3A2143691%2Falimov.doc&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-218"><span class="mw-cite-backlink"><b><a href="#cite_ref-218">^</a></b></span> <span class="reference-text">M. Mayer, M. Balden, and R. Behrisch, J. Nucl. Mat. 252, 55 (1998).</span> </li> <li id="cite_note-bd-219"><span class="mw-cite-backlink">^ <a href="#cite_ref-bd_219-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-bd_219-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">S-S. Kim et al., Proc. SPIE 10143, 1014306 (2017).</span> </li> <li id="cite_note-220"><span class="mw-cite-backlink"><b><a href="#cite_ref-220">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://euvlsymposium.lbl.gov/pdf/2004/presentations/day1/Co07_Sasa_Bajt.pdf">"Screening of oxidation-resistance capping layers"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Screening+of+oxidation-resistance+capping+layers&amp;rft_id=http%3A%2F%2Feuvlsymposium.lbl.gov%2Fpdf%2F2004%2Fpresentations%2Fday1%2FCo07_Sasa_Bajt.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-221"><span class="mw-cite-backlink"><b><a href="#cite_ref-221">^</a></b></span> <span class="reference-text">B. Thedjoisworo <i>et al.</i>, J. Vac. Sci. Tech. A 30, 031303 (2012).</span> </li> <li id="cite_note-222"><span class="mw-cite-backlink"><b><a href="#cite_ref-222">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20200321034016/http://jsts.org/html/journal/journal_files/2013/08/Year2013Volume13_04_13.pdf">"Hydrogen plasma for photoresist stripping"</a> <span class="cs1-format">(PDF)</span>. Archived from <a rel="nofollow" class="external text" href="http://www.jsts.org/html/journal/journal_files/2013/08/year2013volume13_04_13.pdf">the original</a> <span class="cs1-format">(PDF)</span> on 2020-03-21<span class="reference-accessdate">. Retrieved <span class="nowrap">2019-01-06</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Hydrogen+plasma+for+photoresist+stripping&amp;rft_id=http%3A%2F%2Fwww.jsts.org%2Fhtml%2Fjournal%2Fjournal_files%2F2013%2F08%2Fyear2013volume13_04_13.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-223"><span class="mw-cite-backlink"><b><a href="#cite_ref-223">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://ieuvi.org/TWG/Resist/2016/20160221Meeting/07_EIDEC_Shiobara.pdf">"Metal Oxide Resist Outgassing"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Metal+Oxide+Resist+Outgassing&amp;rft_id=http%3A%2F%2Fieuvi.org%2FTWG%2FResist%2F2016%2F20160221Meeting%2F07_EIDEC_Shiobara.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-ThedjoisworoCheung2013-224"><span class="mw-cite-backlink"><b><a href="#cite_ref-ThedjoisworoCheung2013_224-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFThedjoisworoCheungCrist2013" class="citation journal cs1">Thedjoisworo, Bayu; Cheung, David; Crist, Vince (2013). <a rel="nofollow" class="external text" href="https://doi.org/10.1116%2F1.4792254">"Comparison of the effects of downstream H2- and O2-based plasmas on the removal of photoresist, silicon, and silicon nitride"</a>. <i>Journal of Vacuum Science &amp; Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena</i>. <b>31</b> (2): 021206. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2013JVSTB..31b1206T">2013JVSTB..31b1206T</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://doi.org/10.1116%2F1.4792254">10.1116/1.4792254</a></span>. <a href="/wiki/ISSN_(identifier)" class="mw-redirect" title="ISSN (identifier)">ISSN</a>&#160;<a rel="nofollow" class="external text" href="https://search.worldcat.org/issn/2166-2746">2166-2746</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Journal+of+Vacuum+Science+%26+Technology+B%2C+Nanotechnology+and+Microelectronics%3A+Materials%2C+Processing%2C+Measurement%2C+and+Phenomena&amp;rft.atitle=Comparison+of+the+effects+of+downstream+H2-+and+O2-based+plasmas+on+the+removal+of+photoresist%2C+silicon%2C+and+silicon+nitride&amp;rft.volume=31&amp;rft.issue=2&amp;rft.pages=021206&amp;rft.date=2013&amp;rft.issn=2166-2746&amp;rft_id=info%3Adoi%2F10.1116%2F1.4792254&amp;rft_id=info%3Abibcode%2F2013JVSTB..31b1206T&amp;rft.aulast=Thedjoisworo&amp;rft.aufirst=Bayu&amp;rft.au=Cheung%2C+David&amp;rft.au=Crist%2C+Vince&amp;rft_id=https%3A%2F%2Fdoi.org%2F10.1116%252F1.4792254&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-225"><span class="mw-cite-backlink"><b><a href="#cite_ref-225">^</a></b></span> <span class="reference-text">J. Beckers et al., Appl. Sci. 9,2827 (2019).</span> </li> <li id="cite_note-226"><span class="mw-cite-backlink"><b><a href="#cite_ref-226">^</a></b></span> <span class="reference-text">P. De Schepper et al., J. Micro/Nanolith. MEMS MOEMS 13, 023006 (2014).</span> </li> <li id="cite_note-plasmadamage-227"><span class="mw-cite-backlink">^ <a href="#cite_ref-plasmadamage_227-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-plasmadamage_227-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">E-S. Choe et al., Adv. Mater. Interfaces 2023, 2300867.</span> </li> <li id="cite_note-228"><span class="mw-cite-backlink"><b><a href="#cite_ref-228">^</a></b></span> <span class="reference-text">P. De Schepper et al., Proc. SPIE 9428, 94280C (2015).</span> </li> <li id="cite_note-229"><span class="mw-cite-backlink"><b><a href="#cite_ref-229">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFHuangLinKing2023" class="citation journal cs1">Huang, Y. H.; Lin, C. J.; King, Y. C. (2023). <a rel="nofollow" class="external text" href="https://www.ncbi.nlm.nih.gov/pmc/articles/PMC9950305">"A study of hydrogen plasma-induced charging effect in EUV lithography systems"</a>. <i>Discover Nano</i>. <b>18</b> (1): 22. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2023NRL....18...22H">2023NRL....18...22H</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://doi.org/10.1186%2Fs11671-023-03799-4">10.1186/s11671-023-03799-4</a></span>. <a href="/wiki/PMC_(identifier)" class="mw-redirect" title="PMC (identifier)">PMC</a>&#160;<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://www.ncbi.nlm.nih.gov/pmc/articles/PMC9950305">9950305</a></span>. <a href="/wiki/PMID_(identifier)" class="mw-redirect" title="PMID (identifier)">PMID</a>&#160;<a rel="nofollow" class="external text" href="https://pubmed.ncbi.nlm.nih.gov/36823307">36823307</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Discover+Nano&amp;rft.atitle=A+study+of+hydrogen+plasma-induced+charging+effect+in+EUV+lithography+systems&amp;rft.volume=18&amp;rft.issue=1&amp;rft.pages=22&amp;rft.date=2023&amp;rft_id=https%3A%2F%2Fwww.ncbi.nlm.nih.gov%2Fpmc%2Farticles%2FPMC9950305%23id-name%3DPMC&amp;rft_id=info%3Apmid%2F36823307&amp;rft_id=info%3Adoi%2F10.1186%2Fs11671-023-03799-4&amp;rft_id=info%3Abibcode%2F2023NRL....18...22H&amp;rft.aulast=Huang&amp;rft.aufirst=Y.+H.&amp;rft.au=Lin%2C+C.+J.&amp;rft.au=King%2C+Y.+C.&amp;rft_id=https%3A%2F%2Fwww.ncbi.nlm.nih.gov%2Fpmc%2Farticles%2FPMC9950305&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-230"><span class="mw-cite-backlink"><b><a href="#cite_ref-230">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://www.tandfonline.com/doi/full/10.1080/10420150.2022.2048657">M. van de Kerkhof et al., Rad. Effects and Defects in Solids, 177, 486 (2022).</a></span> </li> <li id="cite_note-231"><span class="mw-cite-backlink"><b><a href="#cite_ref-231">^</a></b></span> <span class="reference-text">K. Bystrov et al., J. Vac. Sci. Tech. A 31, 011303 (2013).</span> </li> <li id="cite_note-232"><span class="mw-cite-backlink"><b><a href="#cite_ref-232">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://frederickchen.substack.com/p/nanoparticles-in-the-euv-induced">Nanoparticles in the EUV-Induced Plasma: Another Possible Origin for Stochastic Defects in EUV Lithography</a></span> </li> <li id="cite_note-233"><span class="mw-cite-backlink"><b><a href="#cite_ref-233">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://www.linkedin.com/pulse/nanoparticles-euv-induced-plasma-another-possible-origin-chen-z4w3c/">Nanoparticles in the EUV-Induced Plasma: Another Possible Origin for Stochastic Defects</a></span> </li> <li id="cite_note-234"><span class="mw-cite-backlink"><b><a href="#cite_ref-234">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://spie.org/x48080.xml?pf=true&amp;ArticleID=x48080">"Getting up to speed with roadmap requirements for extreme-UV lithography"</a>. <i>spie.org</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=spie.org&amp;rft.atitle=Getting+up+to+speed+with+roadmap+requirements+for+extreme-UV+lithography&amp;rft_id=http%3A%2F%2Fspie.org%2Fx48080.xml%3Fpf%3Dtrue%26ArticleID%3Dx48080&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-235"><span class="mw-cite-backlink"><b><a href="#cite_ref-235">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.eecs.berkeley.edu/Pubs/TechRpts/2005/EECS-2005-28.html">"Fast Simulation Methods for Non-Planar Phase and Multilayer Defects in DUV and EUV Photomasks for Lithography"</a>. <i>berkeley.edu</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=berkeley.edu&amp;rft.atitle=Fast+Simulation+Methods+for+Non-Planar+Phase+and+Multilayer+Defects+in+DUV+and+EUV+Photomasks+for+Lithography&amp;rft_id=http%3A%2F%2Fwww.eecs.berkeley.edu%2FPubs%2FTechRpts%2F2005%2FEECS-2005-28.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-236"><span class="mw-cite-backlink"><b><a href="#cite_ref-236">^</a></b></span> <span class="reference-text">H. Yu <i>et al.</i>, J. Vac. Sci. Tech. A31, 021403 (2013).</span> </li> <li id="cite_note-237"><span class="mw-cite-backlink"><b><a href="#cite_ref-237">^</a></b></span> <span class="reference-text">S. Huh <i>et al.</i>, <i>Proc. SPIE</i> 7271 (2009).</span> </li> <li id="cite_note-238"><span class="mw-cite-backlink"><b><a href="#cite_ref-238">^</a></b></span> <span class="reference-text">K. Seki <i>et al.</i>, Proc. SPIE 9658, 96580G (2015).</span> </li> <li id="cite_note-239"><span class="mw-cite-backlink"><b><a href="#cite_ref-239">^</a></b></span> <span class="reference-text">A. Garetto <i>et al.</i>, J. Micro/Nanolith. MEMS MOEMS 13, 043006 (2014).</span> </li> <li id="cite_note-ychen2017-240"><span class="mw-cite-backlink">^ <a href="#cite_ref-ychen2017_240-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-ychen2017_240-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">Y. Chen et al., Proc. SPIE 10143, 101431S (2017).</span> </li> <li id="cite_note-241"><span class="mw-cite-backlink"><b><a href="#cite_ref-241">^</a></b></span> <span class="reference-text">R. Jonckheere and L. S. Melvin III, Proc. SPIE 11517, 1151710 (2020).</span> </li> <li id="cite_note-242"><span class="mw-cite-backlink"><b><a href="#cite_ref-242">^</a></b></span> <span class="reference-text">M. Muller et al., Appl. Phys. A vol. 108, 263 (2012).</span> </li> <li id="cite_note-243"><span class="mw-cite-backlink"><b><a href="#cite_ref-243">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://euvlitho.com/2015/P23.pdf">"EUVL activities in South Korea (including Samsung and SKHynix)"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=EUVL+activities+in+South+Korea+%28including+Samsung+and+SKHynix%29&amp;rft_id=http%3A%2F%2Feuvlitho.com%2F2015%2FP23.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-244"><span class="mw-cite-backlink"><b><a href="#cite_ref-244">^</a></b></span> <span class="reference-text">I-S. Kim <i>et al.</i>, Proc. SPIE vol. 8322, 83222X (2012).</span> </li> <li id="cite_note-245"><span class="mw-cite-backlink"><b><a href="#cite_ref-245">^</a></b></span> <span class="reference-text">C. Zoldeski <i>et al.</i>, Proc. SPIE vol. 9048, 90481N (2014).</span> </li> <li id="cite_note-246"><span class="mw-cite-backlink"><b><a href="#cite_ref-246">^</a></b></span> <span class="reference-text">D. L. Goldfarb, Dec. 2015 BACUS Newsletter.</span> </li> <li id="cite_note-247"><span class="mw-cite-backlink"><b><a href="#cite_ref-247">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://semiengineering.com/euv-pellicle-uptime-and-resist-issues-continue/">"EUV Pellicle, Uptime And Resist Issues Continue"</a>. 26 September 2018.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=EUV+Pellicle%2C+Uptime+And+Resist+Issues+Continue&amp;rft.date=2018-09-26&amp;rft_id=https%3A%2F%2Fsemiengineering.com%2Feuv-pellicle-uptime-and-resist-issues-continue%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-248"><span class="mw-cite-backlink"><b><a href="#cite_ref-248">^</a></b></span> <span class="reference-text">A. Gao <i>et al.</i>, J. Appl. Phys. 114, 044313 (2013).</span> </li> <li id="cite_note-249"><span class="mw-cite-backlink"><b><a href="#cite_ref-249">^</a></b></span> <span class="reference-text">E. Gallagher <i>et al.</i>, Proc. SPIE vol. 9635, 96350X (2015).</span> </li> <li id="cite_note-250"><span class="mw-cite-backlink"><b><a href="#cite_ref-250">^</a></b></span> <span class="reference-text">C. Ghica <i>et al.</i>, Rom. Rep. in Phys., vol. 62, 329–340 (2010).</span> </li> <li id="cite_note-251"><span class="mw-cite-backlink"><b><a href="#cite_ref-251">^</a></b></span> <span class="reference-text">L. Juan <i>et al.</i>, Chin. Phys. B, vol., 22, 105101 (2013).</span> </li> <li id="cite_note-252"><span class="mw-cite-backlink"><b><a href="#cite_ref-252">^</a></b></span> <span class="reference-text">I. Pollentier <i>et al.</i>, Proc. SPIE vol. 10143, 101430L (2017).</span> </li> <li id="cite_note-253"><span class="mw-cite-backlink"><b><a href="#cite_ref-253">^</a></b></span> <span class="reference-text">I-S. Kim et al., Microel. Eng. 177, 35 (2017).</span> </li> <li id="cite_note-challenges-254"><span class="mw-cite-backlink">^ <a href="#cite_ref-challenges_254-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-challenges_254-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">H. J. Levinson and T. A. Brunner, Proc. SPIE 10809, 1080903 (2018).</span> </li> <li id="cite_note-255"><span class="mw-cite-backlink"><b><a href="#cite_ref-255">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.anandtech.com/show/16732/tsmc-manufacturing-update">"TSMC Manufacturing Update: N6 to Match N7 Output by EOY, N5 Ramping Faster, Better Yields Than N7"</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=TSMC+Manufacturing+Update%3A+N6+to+Match+N7+Output+by+EOY%2C+N5+Ramping+Faster%2C+Better+Yields+Than+N7&amp;rft_id=https%3A%2F%2Fwww.anandtech.com%2Fshow%2F16732%2Ftsmc-manufacturing-update&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-256"><span class="mw-cite-backlink"><b><a href="#cite_ref-256">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://english.etnews.com/20211013200002">"Samsung to develop 'Pellicle', an essential EUV process product - ETNews"</a>. 13 October 2021.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Samsung+to+develop+%27Pellicle%27%2C+an+essential+EUV+process+product+-+ETNews&amp;rft.date=2021-10-13&amp;rft_id=https%3A%2F%2Fenglish.etnews.com%2F20211013200002&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-US12025922-257"><span class="mw-cite-backlink">^ <a href="#cite_ref-US12025922_257-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-US12025922_257-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://patents.google.com/patent/US12025922B2">US Patent 12025922</a></span> </li> <li id="cite_note-jm32017-258"><span class="mw-cite-backlink">^ <a href="#cite_ref-jm32017_258-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-jm32017_258-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">P. De Bisschop, "Stochastic effects in EUV lithography: random, local CD variability, and printing failures", J. Micro/Nanolith. MEMS MOEMS 16(4), 041013 (2017).</span> </li> <li id="cite_note-259"><span class="mw-cite-backlink"><b><a href="#cite_ref-259">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://www.youtube.com/watch?v=sP3NaLXtxEY">Visualizing EUV Stochastics for a 14nm DRAM Example</a></span> </li> <li id="cite_note-105831K-260"><span class="mw-cite-backlink">^ <a href="#cite_ref-105831K_260-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-105831K_260-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-105831K_260-2"><sup><i><b>c</b></i></sup></a> <a href="#cite_ref-105831K_260-3"><sup><i><b>d</b></i></sup></a> <a href="#cite_ref-105831K_260-4"><sup><i><b>e</b></i></sup></a></span> <span class="reference-text">P. De Bisschop and E. Hendrickx, Proc. SPIE 10583, 105831K (2018).</span> </li> <li id="cite_note-261"><span class="mw-cite-backlink"><b><a href="#cite_ref-261">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://www.youtube.com/watch?v=ZnSNgB5b8B4">EUV Stochastic Variability in Line Cuts</a></span> </li> <li id="cite_note-262"><span class="mw-cite-backlink"><b><a href="#cite_ref-262">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://www.linkedin.com/pulse/stochastic-impact-defocus-euv-lithography-frederick-chen">The Stochastic Impact of Defocus in EUV Lithography</a></span> </li> <li id="cite_note-263"><span class="mw-cite-backlink"><b><a href="#cite_ref-263">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://semiwiki.com/semiconductor-manufacturers/287526-the-stochastic-impact-of-defocus-in-euv-lithography/">The Stochastic Impact of Defocus in EUV Lithography</a></span> </li> <li id="cite_note-narasimhan-264"><span class="mw-cite-backlink">^ <a href="#cite_ref-narasimhan_264-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-narasimhan_264-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">A. Narasimhan et al., Proc. SPIE 9422, 942208 (2015).</span> </li> <li id="cite_note-265"><span class="mw-cite-backlink"><b><a href="#cite_ref-265">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFFukuda2019" class="citation journal cs1">Fukuda, Hiroshi (February 23, 2019). <a rel="nofollow" class="external text" href="https://doi.org/10.1117%2F1.JMM.18.1.013503">"Localized and cascading secondary electron generation as causes of stochastic defects in extreme ultraviolet projection lithography"</a>. <i>Journal of Micro/Nanolithography, MEMS, and MOEMS</i>. <b>18</b> (1): 013503. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2019JMM&amp;M..18a3503F">2019JMM&#38;M..18a3503F</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://doi.org/10.1117%2F1.JMM.18.1.013503">10.1117/1.JMM.18.1.013503</a></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Journal+of+Micro%2FNanolithography%2C+MEMS%2C+and+MOEMS&amp;rft.atitle=Localized+and+cascading+secondary+electron+generation+as+causes+of+stochastic+defects+in+extreme+ultraviolet+projection+lithography&amp;rft.volume=18&amp;rft.issue=1&amp;rft.pages=013503&amp;rft.date=2019-02-23&amp;rft_id=info%3Adoi%2F10.1117%2F1.JMM.18.1.013503&amp;rft_id=info%3Abibcode%2F2019JMM%26M..18a3503F&amp;rft.aulast=Fukuda&amp;rft.aufirst=Hiroshi&amp;rft_id=https%3A%2F%2Fdoi.org%2F10.1117%252F1.JMM.18.1.013503&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-266"><span class="mw-cite-backlink"><b><a href="#cite_ref-266">^</a></b></span> <span class="reference-text">L. Meli et al., J. Micro/Nanolith. MEMS MOEMS 18, 011006 (2019).</span> </li> <li id="cite_note-se-267"><span class="mw-cite-backlink"><b><a href="#cite_ref-se_267-0">^</a></b></span> <span class="reference-text">N. Felix et al., Proc. SPIE 9776, 97761O (2015).</span> </li> <li id="cite_note-bhattarai-268"><span class="mw-cite-backlink"><b><a href="#cite_ref-bhattarai_268-0">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://cloudfront.escholarship.org/dist/prd/content/qt8q3089t2/qt8q3089t2.pdf">"S. Bhattarai, PhD Thesis,"Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography," U. Calif. Berkeley, 2017"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=S.+Bhattarai%2C+PhD+Thesis%2C%22Study+of+Line+Edge+Roughness+and+Interactions+of+Secondary+Electrons+in+Photoresists+for+EUV+Lithography%2C%22+U.+Calif.+Berkeley%2C+2017.&amp;rft_id=https%3A%2F%2Fcloudfront.escholarship.org%2Fdist%2Fprd%2Fcontent%2Fqt8q3089t2%2Fqt8q3089t2.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-269"><span class="mw-cite-backlink"><b><a href="#cite_ref-269">^</a></b></span> <span class="reference-text">S. Larivière et al., Proc. SPIE 10583, 105830U (2018).</span> </li> <li id="cite_note-270"><span class="mw-cite-backlink"><b><a href="#cite_ref-270">^</a></b></span> <span class="reference-text">J. Kim et al., Proc. SPIE 11328, 113280I (2020).</span> </li> <li id="cite_note-271"><span class="mw-cite-backlink"><b><a href="#cite_ref-271">^</a></b></span> <span class="reference-text">S. M. Kim et al., Proc. SPIE 9048, 90480A (2014).</span> </li> <li id="cite_note-272"><span class="mw-cite-backlink"><b><a href="#cite_ref-272">^</a></b></span> <span class="reference-text">S. Das et al., Proc. SPIE 10959, 109590H (2019).</span> </li> <li id="cite_note-sseuv-273"><span class="mw-cite-backlink">^ <a href="#cite_ref-sseuv_273-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-sseuv_273-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-sseuv_273-2"><sup><i><b>c</b></i></sup></a></span> <span class="reference-text">H-W Kim et al., Proc. SPIE 7636, 76360Q (2010).</span> </li> <li id="cite_note-274"><span class="mw-cite-backlink"><b><a href="#cite_ref-274">^</a></b></span> <span class="reference-text">S-M. Kim et al., Proc. SPIE 9422, 94220M (2015).</span> </li> <li id="cite_note-275"><span class="mw-cite-backlink"><b><a href="#cite_ref-275">^</a></b></span> <span class="reference-text">B. Baylav, <a rel="nofollow" class="external text" href="http://scholarworks.rit.edu/cgi/viewcontent.cgi?article=8633&amp;context=theses">"Reduction of Line Edge Roughness (LER) in Interference-Like Large Field Lithography"</a>, PhD dissertation, p. 37, 2014.</span> </li> <li id="cite_note-tsmcshot-276"><span class="mw-cite-backlink"><b><a href="#cite_ref-tsmcshot_276-0">^</a></b></span> <span class="reference-text">Z-Y. Pan et al., Proc. SPIE 6924, 69241K (2008).</span> </li> <li id="cite_note-277"><span class="mw-cite-backlink"><b><a href="#cite_ref-277">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.euvlitho.com/2013/P42.pdf">"2013 Nissan Chemical Industries, 2013 International Workshop on EUV Lithography"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=2013+Nissan+Chemical+Industries%2C+2013+International+Workshop+on+EUV+Lithography&amp;rft_id=http%3A%2F%2Fwww.euvlitho.com%2F2013%2FP42.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-278"><span class="mw-cite-backlink"><b><a href="#cite_ref-278">^</a></b></span> <span class="reference-text">T. G. Oyama <i>et al.</i>, Appl. Phys. Exp. 7, 036501 (2014).</span> </li> <li id="cite_note-279"><span class="mw-cite-backlink"><b><a href="#cite_ref-279">^</a></b></span> <span class="reference-text">T. Kozawa, <i>Jpn. J. Appl. Phys.</i> 51, 06FC01 (2012).</span> </li> <li id="cite_note-abs-280"><span class="mw-cite-backlink"><b><a href="#cite_ref-abs_280-0">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://www.linkedin.com/pulse/euv-resist-absorption-impact-stochastic-defects-frederick-chen">EUV Resist Absorption Impact on Stochastic Defects</a></span> </li> <li id="cite_note-281"><span class="mw-cite-backlink"><b><a href="#cite_ref-281">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://irds.ieee.org/editions/2022/irds%E2%84%A2-2022-lithography">IRDS 2022 Lithography Roadmap</a></span> </li> <li id="cite_note-282"><span class="mw-cite-backlink"><b><a href="#cite_ref-282">^</a></b></span> <span class="reference-text">Y. J. Choi et al., “Stochastic defect removal coating for high-performance extreme ultraviolet lithography,” J. Vac. Sci. Tech. B 40, 042602 (2022).</span> </li> <li id="cite_note-283"><span class="mw-cite-backlink"><b><a href="#cite_ref-283">^</a></b></span> <span class="reference-text">Y. Li, Q. Wu, Y. Zhao, “A Simulation Study for Typical Design Rule Patterns and Stochastic Printing Failures in a 5 nm Logic Process with EUV Lithography,” CSTIC 2020.</span> </li> <li id="cite_note-284"><span class="mw-cite-backlink"><b><a href="#cite_ref-284">^</a></b></span> <span class="reference-text">T-T. Wu et al., Proc. SPIE 12955, 129552V (2024).</span> </li> <li id="cite_note-rsocha-285"><span class="mw-cite-backlink">^ <a href="#cite_ref-rsocha_285-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-rsocha_285-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">R. Socha, Proc. SPIE 11328, 113280V (2020).</span> </li> <li id="cite_note-286"><span class="mw-cite-backlink"><b><a href="#cite_ref-286">^</a></b></span> <span class="reference-text">B. Sell et al., VLSI Tech. 2022]</span> </li> <li id="cite_note-287"><span class="mw-cite-backlink"><b><a href="#cite_ref-287">^</a></b></span> <span class="reference-text">J-H. Franke et al., Proc. SPIE 11517, 1151716 (2020).</span> </li> <li id="cite_note-288"><span class="mw-cite-backlink"><b><a href="#cite_ref-288">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://www.youtube.com/watch?v=5bRyIGUD51I&amp;t=3s">The Tradeoff of EUV Numerical Aperture: Depth-of-Focus vs. Pupil Fill</a>.</span> </li> <li id="cite_note-289"><span class="mw-cite-backlink"><b><a href="#cite_ref-289">^</a></b></span> <span class="reference-text">W. Gap et al., Proc. SPIE 10583, 105830O (2018).</span> </li> <li id="cite_note-290"><span class="mw-cite-backlink"><b><a href="#cite_ref-290">^</a></b></span> <span class="reference-text">D. De Simone et al., Advanced Lithography 2019, 10957-21.</span> </li> <li id="cite_note-291"><span class="mw-cite-backlink"><b><a href="#cite_ref-291">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://techinsights.com/about-techinsights/overview/blog/samsung-18-nm-dram-cell-integration-qpt-and-higher-uniformed-capacitor-high-k-dielectrics/">"Samsung 18 nm DRAM cell integration: QPT and higher uniformed capacitor high-k dielectrics"</a>. <i>techinsights.com</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=techinsights.com&amp;rft.atitle=Samsung+18+nm+DRAM+cell+integration%3A+QPT+and+higher+uniformed+capacitor+high-k+dielectrics&amp;rft_id=https%3A%2F%2Ftechinsights.com%2Fabout-techinsights%2Foverview%2Fblog%2Fsamsung-18-nm-dram-cell-integration-qpt-and-higher-uniformed-capacitor-high-k-dielectrics%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-292"><span class="mw-cite-backlink"><b><a href="#cite_ref-292">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFRoos2018" class="citation web cs1">Roos, Gina (May 24, 2018). <a rel="nofollow" class="external text" href="https://epsnews.com/2018/05/24/prices-for-drams-continue-to-climb-while-nand-flash-asps-drop/">"Prices for DRAMs Continue to Climb while NAND Flash ASPs Drop"</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Prices+for+DRAMs+Continue+to+Climb+while+NAND+Flash+ASPs+Drop&amp;rft.date=2018-05-24&amp;rft.aulast=Roos&amp;rft.aufirst=Gina&amp;rft_id=https%3A%2F%2Fepsnews.com%2F2018%2F05%2F24%2Fprices-for-drams-continue-to-climb-while-nand-flash-asps-drop%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-293"><span class="mw-cite-backlink"><b><a href="#cite_ref-293">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.semiwiki.com/forum/content/7759-top-10-highlights-tsmc-open-innovation-platform-ecosystem-forum.html">"SemiWiki.com - Top 10 Highlights from the TSMC Open Innovation Platform Ecosystem Forum"</a>. <i>www.semiwiki.com</i>. 7 August 2023.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.semiwiki.com&amp;rft.atitle=SemiWiki.com+-+Top+10+Highlights+from+the+TSMC+Open+Innovation+Platform+Ecosystem+Forum&amp;rft.date=2023-08-07&amp;rft_id=https%3A%2F%2Fwww.semiwiki.com%2Fforum%2Fcontent%2F7759-top-10-highlights-tsmc-open-innovation-platform-ecosystem-forum.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-294"><span class="mw-cite-backlink"><b><a href="#cite_ref-294">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20181005194937/https://www.synopsys.com/community/resources/videos/tsmc-videos/dac-2018-arm-tsmc-breakfast.html">"DAC 2018 TSMC/Arm/Synopsys Breakfast"</a>. <i>www.synopsys.com</i>. Archived from <a rel="nofollow" class="external text" href="https://www.synopsys.com/community/resources/videos/tsmc-videos/dac-2018-arm-tsmc-breakfast.html">the original</a> on 2018-10-05<span class="reference-accessdate">. Retrieved <span class="nowrap">2018-10-05</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.synopsys.com&amp;rft.atitle=DAC+2018+TSMC%2FArm%2FSynopsys+Breakfast&amp;rft_id=https%3A%2F%2Fwww.synopsys.com%2Fcommunity%2Fresources%2Fvideos%2Ftsmc-videos%2Fdac-2018-arm-tsmc-breakfast.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-295"><span class="mw-cite-backlink"><b><a href="#cite_ref-295">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation pressrelease cs1"><a rel="nofollow" class="external text" href="https://www.businesswire.com/news/home/20181001005260/en/Cadence-Achieves-EDA-Certification-TSMC-5nm-7nm">"Cadence Achieves EDA Certification for TSMC 5nm and 7nm+ FinFET Process Technologies to Facilitate Mobile and HPC Design Creation"</a> (Press release). October 2018.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Cadence+Achieves+EDA+Certification+for+TSMC+5nm+and+7nm%2B+FinFET+Process+Technologies+to+Facilitate+Mobile+and+HPC+Design+Creation&amp;rft.date=2018-10&amp;rft_id=https%3A%2F%2Fwww.businesswire.com%2Fnews%2Fhome%2F20181001005260%2Fen%2FCadence-Achieves-EDA-Certification-TSMC-5nm-7nm&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-296"><span class="mw-cite-backlink"><b><a href="#cite_ref-296">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.design-reuse.com/news/44846/synopsys-digital-and-custom-design-platforms-tsmc-5-nm-euv-based-process.html">"Synopsys Digital and Custom Design Platforms Certified on TSMC 5-nm EUV-based Process Technology"</a>. <i>Design And Reuse</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=Design+And+Reuse&amp;rft.atitle=Synopsys+Digital+and+Custom+Design+Platforms+Certified+on+TSMC+5-nm+EUV-based+Process+Technology&amp;rft_id=https%3A%2F%2Fwww.design-reuse.com%2Fnews%2F44846%2Fsynopsys-digital-and-custom-design-platforms-tsmc-5-nm-euv-based-process.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-297"><span class="mw-cite-backlink"><b><a href="#cite_ref-297">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://web.archive.org/web/20181005194938/https://www.synopsys.com/community/resources/videos/samsung-videos/dac-2018-samsung-breakfast.html">"DAC 2018 Samsung/Synopsys Breakfast"</a>. <i>www.synopsys.com</i>. Archived from <a rel="nofollow" class="external text" href="https://www.synopsys.com/community/resources/videos/samsung-videos/dac-2018-samsung-breakfast.html">the original</a> on 2018-10-05<span class="reference-accessdate">. Retrieved <span class="nowrap">2018-10-05</span></span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=www.synopsys.com&amp;rft.atitle=DAC+2018+Samsung%2FSynopsys+Breakfast&amp;rft_id=https%3A%2F%2Fwww.synopsys.com%2Fcommunity%2Fresources%2Fvideos%2Fsamsung-videos%2Fdac-2018-samsung-breakfast.html&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-298"><span class="mw-cite-backlink"><b><a href="#cite_ref-298">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFMerritt" class="citation web cs1">Merritt, Rick. <a rel="nofollow" class="external text" href="https://www.eetimes.com/document.asp?doc_id=1333827">"TSMC Goes Photon to Cloud"</a>. <i>EETimes</i>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=unknown&amp;rft.jtitle=EETimes&amp;rft.atitle=TSMC+Goes+Photon+to+Cloud&amp;rft.aulast=Merritt&amp;rft.aufirst=Rick&amp;rft_id=https%3A%2F%2Fwww.eetimes.com%2Fdocument.asp%3Fdoc_id%3D1333827&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-299"><span class="mw-cite-backlink"><b><a href="#cite_ref-299">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.euvlitho.com/2012/P1.pdf">"Intel presentation on Complementary Lithography at 2012 International Workshop on EUV Lithography"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=Intel+presentation+on+Complementary+Lithography+at+2012+International+Workshop+on+EUV+Lithography&amp;rft_id=http%3A%2F%2Fwww.euvlitho.com%2F2012%2FP1.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-auto3-300"><span class="mw-cite-backlink">^ <a href="#cite_ref-auto3_300-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-auto3_300-1"><sup><i><b>b</b></i></sup></a> <a href="#cite_ref-auto3_300-2"><sup><i><b>c</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://semiwiki.com/forum/index.php?threads/euv-was-never-going-to-be-single-patterning.8935/">"EUV was never going to be single patterning"</a>. 5 February 2017.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=EUV+was+never+going+to+be+single+patterning&amp;rft.date=2017-02-05&amp;rft_id=https%3A%2F%2Fsemiwiki.com%2Fforum%2Findex.php%3Fthreads%2Feuv-was-never-going-to-be-single-patterning.8935%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-301"><span class="mw-cite-backlink"><b><a href="#cite_ref-301">^</a></b></span> <span class="reference-text">S. Hsu et al., Proc. SPIE 4691, 476 (2002).</span> </li> <li id="cite_note-302"><span class="mw-cite-backlink"><b><a href="#cite_ref-302">^</a></b></span> <span class="reference-text">X. Liu et al., Proc. SPIE 9048, 90480Q (2014).</span> </li> <li id="cite_note-303"><span class="mw-cite-backlink"><b><a href="#cite_ref-303">^</a></b></span> <span class="reference-text">S-Y. Oh et al., Proc. SPIE 4691, 1537 (2002).</span> </li> <li id="cite_note-304"><span class="mw-cite-backlink"><b><a href="#cite_ref-304">^</a></b></span> <span class="reference-text">D. Rio et al., Proc. SPIE 10809, 108090N (2018).</span> </li> <li id="cite_note-305"><span class="mw-cite-backlink"><b><a href="#cite_ref-305">^</a></b></span> <span class="reference-text">W. Gao et al., Proc. SPIE 11323, 113231L (2020).</span> </li> <li id="cite_note-306"><span class="mw-cite-backlink"><b><a href="#cite_ref-306">^</a></b></span> <span class="reference-text">R. K. Ali et al., Proc. SPIE 10583, 1058321 (2018).</span> </li> <li id="cite_note-307"><span class="mw-cite-backlink"><b><a href="#cite_ref-307">^</a></b></span> <span class="reference-text">Q. Lin, Proc. SPIE 11327, 113270X (2020).</span> </li> <li id="cite_note-308"><span class="mw-cite-backlink"><b><a href="#cite_ref-308">^</a></b></span> <span class="reference-text">R. Venkatesan et al., Proc. SPIE 12292, 1229202 (2022).</span> </li> <li id="cite_note-309"><span class="mw-cite-backlink"><b><a href="#cite_ref-309">^</a></b></span> <span class="reference-text">J. T. Neumann <i>et al.</i>, Proc. SPIE 8522, 852211 (2012).</span> </li> <li id="cite_note-310"><span class="mw-cite-backlink"><b><a href="#cite_ref-310">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="http://www.anandtech.com/show/9802/supercomputing-15-intels-knights-landing-xeon-phi-silicon-on-display">Intel's Xeon E5-2600 V4 Chips Feature An Insane 7.2 Billion Transistors on a 456mm2 Die</a>,</span> </li> <li id="cite_note-highNA-311"><span class="mw-cite-backlink"><b><a href="#cite_ref-highNA_311-0">^</a></b></span> <span class="reference-text">J. van Schoot <i>et al.</i>, Proc. SPIE 9422, 94221F (2015).</span> </li> <li id="cite_note-312"><span class="mw-cite-backlink"><b><a href="#cite_ref-312">^</a></b></span> <span class="reference-text">B. J. Lin, JM3 1, 7–12 (2002).</span> </li> <li id="cite_note-313"><span class="mw-cite-backlink"><b><a href="#cite_ref-313">^</a></b></span> <span class="reference-text">E. R. Hosler <i>et al.</i>, Proc. SPIE vol. 9776, 977616 (2015).</span> </li> <li id="cite_note-dof-314"><span class="mw-cite-backlink">^ <a href="#cite_ref-dof_314-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-dof_314-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text">B. J. Lin, J. Microlith., Microfab., Microsyst. 1, 7–12 (2002).</span> </li> <li id="cite_note-315"><span class="mw-cite-backlink"><b><a href="#cite_ref-315">^</a></b></span> <span class="reference-text">B. J. Lin, Microelec. Eng. 143, 91–101 (2015).</span> </li> <li id="cite_note-316"><span class="mw-cite-backlink"><b><a href="#cite_ref-316">^</a></b></span> <span class="reference-text"> I. Lee et al., J. Micro/Nanopattern. Mater. Metrol. 22, 043202 (2023).</span> </li> <li id="cite_note-317"><span class="mw-cite-backlink"><b><a href="#cite_ref-317">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://frederickchen.substack.com/p/high-na-euv-has-astigmatism">High-NA EUV Has Astigmatism</a></span> </li> <li id="cite_note-318"><span class="mw-cite-backlink"><b><a href="#cite_ref-318">^</a></b></span> <span class="reference-text">B. Bilski et al., Proc. SPIE 11177, 111770I (2019).</span> </li> <li id="cite_note-319"><span class="mw-cite-backlink"><b><a href="#cite_ref-319">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://www.anandtech.com/show/16823/intel-accelerated-offensive-process-roadmap-updates-to-10nm-7nm-4nm-3nm-20a-18a-packaging-foundry-emib-foveros">Intel roadmap</a></span> </li> <li id="cite_note-320"><span class="mw-cite-backlink"><b><a href="#cite_ref-320">^</a></b></span> <span class="reference-text"><a rel="nofollow" class="external text" href="https://www.linkedin.com/pulse/reality-checks-high-na-euv-1x-nm-nodes-frederick-chen">Reality Checks for High-NA EUV for 1.x nm Nodes</a></span> </li> <li id="cite_note-321"><span class="mw-cite-backlink"><b><a href="#cite_ref-321">^</a></b></span> <span class="reference-text">L. F. Miguez et al., Proc. SPIE 12498, 124980E (2023).</span> </li> <li id="cite_note-322"><span class="mw-cite-backlink"><b><a href="#cite_ref-322">^</a></b></span> <span class="reference-text">R. Fallica et al., Proc. SPIE 12498, 124980J (2023).</span> </li> <li id="cite_note-auto6-323"><span class="mw-cite-backlink">^ <a href="#cite_ref-auto6_323-0"><sup><i><b>a</b></i></sup></a> <a href="#cite_ref-auto6_323-1"><sup><i><b>b</b></i></sup></a></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="https://www.eetimes.com/asml-aims-for-hyper-na-euv-shrinking-chip-limits/">"ASML Aims for Hyper-NA EUV, Shrinking Chip Limits"</a>. 12 June 2024.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=ASML+Aims+for+Hyper-NA+EUV%2C+Shrinking+Chip+Limits&amp;rft.date=2024-06-12&amp;rft_id=https%3A%2F%2Fwww.eetimes.com%2Fasml-aims-for-hyper-na-euv-shrinking-chip-limits%2F&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-324"><span class="mw-cite-backlink"><b><a href="#cite_ref-324">^</a></b></span> <span class="reference-text">biz.chosun.com/it-science/ict/2024/06/21/OTIF4YUEGZCSLNPMHY53HH34DQ/</span> </li> <li id="cite_note-325"><span class="mw-cite-backlink"><b><a href="#cite_ref-325">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFLeeFrankePhilipsenRonse2023" class="citation book cs1">Lee, Inhwan; Franke, Joern-Holger; Philipsen, Vicky; Ronse, Kurt; De Gendt, Stefan; Hendrickx, Eric (2023). <a rel="nofollow" class="external text" href="https://www.spiedigitallibrary.org/conference-proceedings-of-spie/12494/1249405/Hyper-NA-EUV-lithography-an-imaging-perspective/10.1117/12.2659153.short#_=_">"Hyper-NA EUV lithography: An imaging perspective"</a>. In Lio, Anna; Burkhardt, Martin (eds.). <i>Optical and EUV Nanolithography XXXVI</i>. Vol.&#160;12494. p.&#160;7. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2023SPIE12494E..05L">2023SPIE12494E..05L</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1117%2F12.2659153">10.1117/12.2659153</a>. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/978-1-5106-6095-3" title="Special:BookSources/978-1-5106-6095-3"><bdi>978-1-5106-6095-3</bdi></a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=bookitem&amp;rft.atitle=Hyper-NA+EUV+lithography%3A+An+imaging+perspective&amp;rft.btitle=Optical+and+EUV+Nanolithography+XXXVI&amp;rft.pages=7&amp;rft.date=2023&amp;rft_id=info%3Adoi%2F10.1117%2F12.2659153&amp;rft_id=info%3Abibcode%2F2023SPIE12494E..05L&amp;rft.isbn=978-1-5106-6095-3&amp;rft.aulast=Lee&amp;rft.aufirst=Inhwan&amp;rft.au=Franke%2C+Joern-Holger&amp;rft.au=Philipsen%2C+Vicky&amp;rft.au=Ronse%2C+Kurt&amp;rft.au=De+Gendt%2C+Stefan&amp;rft.au=Hendrickx%2C+Eric&amp;rft_id=https%3A%2F%2Fwww.spiedigitallibrary.org%2Fconference-proceedings-of-spie%2F12494%2F1249405%2FHyper-NA-EUV-lithography-an-imaging-perspective%2F10.1117%2F12.2659153.short%23_%3D_&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-326"><span class="mw-cite-backlink"><b><a href="#cite_ref-326">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite class="citation web cs1"><a rel="nofollow" class="external text" href="http://www.euvlitho.com/2010/P14.pdf">"ASML presentation at 2010 International Workshop on Extreme Ultraviolet Sources"</a> <span class="cs1-format">(PDF)</span>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=unknown&amp;rft.btitle=ASML+presentation+at+2010+International+Workshop+on+Extreme+Ultraviolet+Sources&amp;rft_id=http%3A%2F%2Fwww.euvlitho.com%2F2010%2FP14.pdf&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> <li id="cite_note-327"><span class="mw-cite-backlink"><b><a href="#cite_ref-327">^</a></b></span> <span class="reference-text"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFMojaradGobrechtEkinci2015" class="citation journal cs1">Mojarad, Nassir; Gobrecht, Jens; Ekinci, Yasin (18 March 2015). <a rel="nofollow" class="external text" href="https://www.ncbi.nlm.nih.gov/pmc/articles/PMC4363827">"Beyond EUV lithography: a comparative study of efficient photoresists' performance"</a>. <i>Scientific Reports</i>. <b>5</b> (1): 9235. <a href="/wiki/Bibcode_(identifier)" class="mw-redirect" title="Bibcode (identifier)">Bibcode</a>:<a rel="nofollow" class="external text" href="https://ui.adsabs.harvard.edu/abs/2015NatSR...5E9235M">2015NatSR...5E9235M</a>. <a href="/wiki/Doi_(identifier)" class="mw-redirect" title="Doi (identifier)">doi</a>:<a rel="nofollow" class="external text" href="https://doi.org/10.1038%2Fsrep09235">10.1038/srep09235</a>. <a href="/wiki/PMC_(identifier)" class="mw-redirect" title="PMC (identifier)">PMC</a>&#160;<span class="id-lock-free" title="Freely accessible"><a rel="nofollow" class="external text" href="https://www.ncbi.nlm.nih.gov/pmc/articles/PMC4363827">4363827</a></span>. <a href="/wiki/PMID_(identifier)" class="mw-redirect" title="PMID (identifier)">PMID</a>&#160;<a rel="nofollow" class="external text" href="https://pubmed.ncbi.nlm.nih.gov/25783209">25783209</a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Scientific+Reports&amp;rft.atitle=Beyond+EUV+lithography%3A+a+comparative+study+of+efficient+photoresists%27+performance&amp;rft.volume=5&amp;rft.issue=1&amp;rft.pages=9235&amp;rft.date=2015-03-18&amp;rft_id=https%3A%2F%2Fwww.ncbi.nlm.nih.gov%2Fpmc%2Farticles%2FPMC4363827%23id-name%3DPMC&amp;rft_id=info%3Apmid%2F25783209&amp;rft_id=info%3Adoi%2F10.1038%2Fsrep09235&amp;rft_id=info%3Abibcode%2F2015NatSR...5E9235M&amp;rft.aulast=Mojarad&amp;rft.aufirst=Nassir&amp;rft.au=Gobrecht%2C+Jens&amp;rft.au=Ekinci%2C+Yasin&amp;rft_id=https%3A%2F%2Fwww.ncbi.nlm.nih.gov%2Fpmc%2Farticles%2FPMC4363827&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></span> </li> </ol></div> <div class="mw-heading mw-heading2"><h2 id="Further_reading">Further reading</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=46" title="Edit section: Further reading"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <ul><li><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFBanqiu_Wu_and_Ajay_Kumar2009" class="citation book cs1">Banqiu Wu and Ajay Kumar (May 2009). <a rel="nofollow" class="external text" href="http://www.mhprofessional.com/product.php?isbn=0-07-154918-8"><i>Extreme Ultraviolet Lithography</i></a>. <a href="/wiki/McGraw-Hill_Professional" class="mw-redirect" title="McGraw-Hill Professional">McGraw-Hill Professional</a>, Inc. <a href="/wiki/ISBN_(identifier)" class="mw-redirect" title="ISBN (identifier)">ISBN</a>&#160;<a href="/wiki/Special:BookSources/978-0-07-154918-9" title="Special:BookSources/978-0-07-154918-9"><bdi>978-0-07-154918-9</bdi></a>.</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Abook&amp;rft.genre=book&amp;rft.btitle=Extreme+Ultraviolet+Lithography&amp;rft.pub=McGraw-Hill+Professional%2C+Inc.&amp;rft.date=2009-05&amp;rft.isbn=978-0-07-154918-9&amp;rft.au=Banqiu+Wu+and+Ajay+Kumar&amp;rft_id=http%3A%2F%2Fwww.mhprofessional.com%2Fproduct.php%3Fisbn%3D0-07-154918-8&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></li> <li><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1238218222"><cite id="CITEREFBanqiu_Wu_and_Ajay_Kumar2009" class="citation journal cs1">Banqiu Wu and Ajay Kumar (2009). <a rel="nofollow" class="external text" href="http://www.opfocus.org/index.php?topic=story&amp;v=7&amp;s=4">"Extreme Ultraviolet Lithography: Towards the Next Generation of Integrated Circuits"</a>. <i>Optics &amp; Photonics Focus</i>. <b>7</b> (4).</cite><span title="ctx_ver=Z39.88-2004&amp;rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&amp;rft.genre=article&amp;rft.jtitle=Optics+%26+Photonics+Focus&amp;rft.atitle=Extreme+Ultraviolet+Lithography%3A+Towards+the+Next+Generation+of+Integrated+Circuits&amp;rft.volume=7&amp;rft.issue=4&amp;rft.date=2009&amp;rft.au=Banqiu+Wu+and+Ajay+Kumar&amp;rft_id=http%3A%2F%2Fwww.opfocus.org%2Findex.php%3Ftopic%3Dstory%26v%3D7%26s%3D4&amp;rfr_id=info%3Asid%2Fen.wikipedia.org%3AExtreme+ultraviolet+lithography" class="Z3988"></span></li> <li>Michael Purvis, <a rel="nofollow" class="external text" href="https://strobe.colorado.edu/wp-content/uploads/STROBE_ASML-EUV-Sources_Purvis_25-Sept-2020-1.pdf">An Introduction to EUV Sources for Lithography</a>, ASML, STROBE, 2020-09-25.</li> <li>Igor Fomenkov, <a rel="nofollow" class="external text" href="https://euvlitho.com/2019/S1.pdf">EUV Source for Lithography in HVM - performance and prospects</a>, ASML Fellow, Source workshop, Amsterdam, 2019-11-05.</li></ul> <div class="mw-heading mw-heading2"><h2 id="Related_links">Related links</h2><span class="mw-editsection"><span class="mw-editsection-bracket">[</span><a href="/w/index.php?title=Extreme_ultraviolet_lithography&amp;action=edit&amp;section=47" title="Edit section: Related links"><span>edit</span></a><span class="mw-editsection-bracket">]</span></span></div> <ul><li><a rel="nofollow" class="external text" href="https://web.archive.org/web/20110723211724/http://semimd.com/blog/2011/03/25/euv-mask-cleaning-presents-economic-challenges/">EUV presents economic challenges</a></li> <li><a rel="nofollow" class="external text" href="https://www.eetimes.com/industry-mulls-6-7-nm-wavelength-euv">Industry mulls 6.7-nm wavelength EUV</a></li></ul> <div class="navbox-styles"><style data-mw-deduplicate="TemplateStyles:r1129693374">.mw-parser-output .hlist dl,.mw-parser-output .hlist ol,.mw-parser-output .hlist ul{margin:0;padding:0}.mw-parser-output .hlist dd,.mw-parser-output .hlist dt,.mw-parser-output .hlist li{margin:0;display:inline}.mw-parser-output .hlist.inline,.mw-parser-output .hlist.inline dl,.mw-parser-output .hlist.inline ol,.mw-parser-output .hlist.inline ul,.mw-parser-output .hlist dl dl,.mw-parser-output .hlist dl ol,.mw-parser-output .hlist dl ul,.mw-parser-output .hlist ol dl,.mw-parser-output .hlist ol ol,.mw-parser-output .hlist ol ul,.mw-parser-output .hlist ul dl,.mw-parser-output .hlist ul ol,.mw-parser-output .hlist ul ul{display:inline}.mw-parser-output .hlist .mw-empty-li{display:none}.mw-parser-output .hlist dt::after{content:": "}.mw-parser-output .hlist dd::after,.mw-parser-output .hlist li::after{content:" · ";font-weight:bold}.mw-parser-output .hlist dd:last-child::after,.mw-parser-output .hlist dt:last-child::after,.mw-parser-output .hlist li:last-child::after{content:none}.mw-parser-output .hlist dd dd:first-child::before,.mw-parser-output .hlist dd dt:first-child::before,.mw-parser-output .hlist dd li:first-child::before,.mw-parser-output .hlist dt dd:first-child::before,.mw-parser-output .hlist dt dt:first-child::before,.mw-parser-output .hlist dt li:first-child::before,.mw-parser-output .hlist li dd:first-child::before,.mw-parser-output .hlist li dt:first-child::before,.mw-parser-output .hlist li li:first-child::before{content:" (";font-weight:normal}.mw-parser-output .hlist dd dd:last-child::after,.mw-parser-output .hlist dd dt:last-child::after,.mw-parser-output .hlist dd li:last-child::after,.mw-parser-output .hlist dt dd:last-child::after,.mw-parser-output .hlist dt dt:last-child::after,.mw-parser-output .hlist dt li:last-child::after,.mw-parser-output .hlist li dd:last-child::after,.mw-parser-output .hlist li dt:last-child::after,.mw-parser-output .hlist li li:last-child::after{content:")";font-weight:normal}.mw-parser-output .hlist ol{counter-reset:listitem}.mw-parser-output .hlist ol>li{counter-increment:listitem}.mw-parser-output .hlist ol>li::before{content:" "counter(listitem)"\a0 "}.mw-parser-output .hlist dd ol>li:first-child::before,.mw-parser-output .hlist dt ol>li:first-child::before,.mw-parser-output .hlist li ol>li:first-child::before{content:" ("counter(listitem)"\a0 "}</style><style data-mw-deduplicate="TemplateStyles:r1236075235">.mw-parser-output .navbox{box-sizing:border-box;border:1px solid #a2a9b1;width:100%;clear:both;font-size:88%;text-align:center;padding:1px;margin:1em auto 0}.mw-parser-output .navbox .navbox{margin-top:0}.mw-parser-output .navbox+.navbox,.mw-parser-output .navbox+.navbox-styles+.navbox{margin-top:-1px}.mw-parser-output .navbox-inner,.mw-parser-output .navbox-subgroup{width:100%}.mw-parser-output .navbox-group,.mw-parser-output .navbox-title,.mw-parser-output .navbox-abovebelow{padding:0.25em 1em;line-height:1.5em;text-align:center}.mw-parser-output .navbox-group{white-space:nowrap;text-align:right}.mw-parser-output .navbox,.mw-parser-output .navbox-subgroup{background-color:#fdfdfd}.mw-parser-output .navbox-list{line-height:1.5em;border-color:#fdfdfd}.mw-parser-output .navbox-list-with-group{text-align:left;border-left-width:2px;border-left-style:solid}.mw-parser-output tr+tr>.navbox-abovebelow,.mw-parser-output tr+tr>.navbox-group,.mw-parser-output tr+tr>.navbox-image,.mw-parser-output tr+tr>.navbox-list{border-top:2px solid #fdfdfd}.mw-parser-output .navbox-title{background-color:#ccf}.mw-parser-output .navbox-abovebelow,.mw-parser-output .navbox-group,.mw-parser-output .navbox-subgroup .navbox-title{background-color:#ddf}.mw-parser-output .navbox-subgroup .navbox-group,.mw-parser-output .navbox-subgroup .navbox-abovebelow{background-color:#e6e6ff}.mw-parser-output .navbox-even{background-color:#f7f7f7}.mw-parser-output .navbox-odd{background-color:transparent}.mw-parser-output .navbox .hlist td dl,.mw-parser-output .navbox .hlist td ol,.mw-parser-output .navbox .hlist td ul,.mw-parser-output .navbox td.hlist dl,.mw-parser-output .navbox td.hlist ol,.mw-parser-output .navbox td.hlist ul{padding:0.125em 0}.mw-parser-output .navbox .navbar{display:block;font-size:100%}.mw-parser-output .navbox-title .navbar{float:left;text-align:left;margin-right:0.5em}body.skin--responsive .mw-parser-output .navbox-image img{max-width:none!important}@media print{body.ns-0 .mw-parser-output .navbox{display:none!important}}</style></div><div role="navigation" class="navbox authority-control" aria-label="Navbox" style="padding:3px"><table class="nowraplinks hlist navbox-inner" style="border-spacing:0;background:transparent;color:inherit"><tbody><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Help:Authority_control" title="Help:Authority control">Authority control databases</a>: National <span class="mw-valign-text-top noprint" typeof="mw:File/Frameless"><a href="https://www.wikidata.org/wiki/Q371965#identifiers" title="Edit this at Wikidata"><img alt="Edit this at Wikidata" src="//upload.wikimedia.org/wikipedia/en/thumb/8/8a/OOjs_UI_icon_edit-ltr-progressive.svg/10px-OOjs_UI_icon_edit-ltr-progressive.svg.png" decoding="async" width="10" height="10" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/en/thumb/8/8a/OOjs_UI_icon_edit-ltr-progressive.svg/15px-OOjs_UI_icon_edit-ltr-progressive.svg.png 1.5x, //upload.wikimedia.org/wikipedia/en/thumb/8/8a/OOjs_UI_icon_edit-ltr-progressive.svg/20px-OOjs_UI_icon_edit-ltr-progressive.svg.png 2x" data-file-width="20" data-file-height="20" /></a></span></th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"><ul><li><span class="uid"><a rel="nofollow" class="external text" href="https://d-nb.info/gnd/1299519369">Germany</a></span></li></ul></div></td></tr></tbody></table></div> <div class="navbox-styles"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1236075235"></div><div role="navigation" class="navbox" aria-labelledby="Nanolithography" style="padding:3px"><table class="nowraplinks mw-collapsible autocollapse navbox-inner" style="border-spacing:0;background:transparent;color:inherit"><tbody><tr><th scope="col" class="navbox-title" colspan="3"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374"><style data-mw-deduplicate="TemplateStyles:r1239400231">.mw-parser-output .navbar{display:inline;font-size:88%;font-weight:normal}.mw-parser-output .navbar-collapse{float:left;text-align:left}.mw-parser-output .navbar-boxtext{word-spacing:0}.mw-parser-output .navbar ul{display:inline-block;white-space:nowrap;line-height:inherit}.mw-parser-output .navbar-brackets::before{margin-right:-0.125em;content:"[ "}.mw-parser-output .navbar-brackets::after{margin-left:-0.125em;content:" ]"}.mw-parser-output .navbar li{word-spacing:-0.125em}.mw-parser-output .navbar a>span,.mw-parser-output .navbar a>abbr{text-decoration:inherit}.mw-parser-output .navbar-mini abbr{font-variant:small-caps;border-bottom:none;text-decoration:none;cursor:inherit}.mw-parser-output .navbar-ct-full{font-size:114%;margin:0 7em}.mw-parser-output .navbar-ct-mini{font-size:114%;margin:0 4em}html.skin-theme-clientpref-night .mw-parser-output .navbar li a abbr{color:var(--color-base)!important}@media(prefers-color-scheme:dark){html.skin-theme-clientpref-os .mw-parser-output .navbar li a abbr{color:var(--color-base)!important}}@media print{.mw-parser-output .navbar{display:none!important}}</style><div class="navbar plainlinks hlist navbar-mini"><ul><li class="nv-view"><a href="/wiki/Template:Nanolithography" title="Template:Nanolithography"><abbr title="View this template">v</abbr></a></li><li class="nv-talk"><a href="/wiki/Template_talk:Nanolithography" title="Template talk:Nanolithography"><abbr title="Discuss this template">t</abbr></a></li><li class="nv-edit"><a href="/wiki/Special:EditPage/Template:Nanolithography" title="Special:EditPage/Template:Nanolithography"><abbr title="Edit this template">e</abbr></a></li></ul></div><div id="Nanolithography" style="font-size:114%;margin:0 4em"><a href="/wiki/Nanolithography" title="Nanolithography">Nanolithography</a></div></th></tr><tr><th scope="row" class="navbox-group" style="width:1%">Main</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Photolithography" title="Photolithography">Optical</a></li> <li><a href="/wiki/Electron_beam_lithography" class="mw-redirect" title="Electron beam lithography">Electron beam</a></li> <li><a href="/wiki/Nanoimprint_lithography" title="Nanoimprint lithography">Nanoimprint</a></li> <li><a href="/wiki/Multiphoton_lithography" title="Multiphoton lithography">Multiphoton</a></li> <li><a href="/wiki/Scanning_probe_lithography" title="Scanning probe lithography">Scanning probe</a></li></ul> </div></td><td class="noviewer navbox-image" rowspan="3" style="width:1px;padding:0 0 0 2px"><div><span typeof="mw:File"><a href="/wiki/File:XRL_Currents.svg" class="mw-file-description"><img src="//upload.wikimedia.org/wikipedia/commons/thumb/c/c4/XRL_Currents.svg/40px-XRL_Currents.svg.png" decoding="async" width="40" height="41" class="mw-file-element" srcset="//upload.wikimedia.org/wikipedia/commons/thumb/c/c4/XRL_Currents.svg/60px-XRL_Currents.svg.png 1.5x, //upload.wikimedia.org/wikipedia/commons/thumb/c/c4/XRL_Currents.svg/80px-XRL_Currents.svg.png 2x" data-file-width="655" data-file-height="665" /></a></span></div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Other</th><td class="navbox-list-with-group navbox-list navbox-even hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Molecular_self-assembly" title="Molecular self-assembly">Molecular self-assembly</a></li> <li><a href="/wiki/Stencil_lithography" title="Stencil lithography">Stencil</a></li> <li><a href="/wiki/X-ray_lithography" title="X-ray lithography">X-ray</a></li> <li><a href="/wiki/Ion_beam_lithography" title="Ion beam lithography">Ion beam</a></li> <li><a href="/wiki/Magnetolithography" title="Magnetolithography">Magnetolithography</a></li> <li><a href="/wiki/Plasmonic_nanolithography" title="Plasmonic nanolithography">Plasmonic</a></li> <li><a href="/wiki/Soft_lithography" title="Soft lithography">Soft</a></li> <li><a href="/wiki/Laser_printing_of_single_nanoparticles" title="Laser printing of single nanoparticles">Laser printing</a></li> <li><a href="/wiki/Nanosphere_lithography" title="Nanosphere lithography">Nanosphere</a></li> <li><a href="/wiki/Proton_beam_writing" title="Proton beam writing">Proton beam</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">See also</th><td class="navbox-list-with-group navbox-list navbox-odd hlist" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Nanotechnology" title="Nanotechnology">Nanotechnology</a></li> <li><a href="/wiki/Nanoelectronics" title="Nanoelectronics">Nanoelectronics</a></li></ul> </div></td></tr></tbody></table></div> <div class="navbox-styles"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1236075235"></div><div role="navigation" class="navbox" aria-labelledby="Microtechnology" style="padding:3px"><table class="nowraplinks hlist mw-collapsible autocollapse navbox-inner" style="border-spacing:0;background:transparent;color:inherit"><tbody><tr><th scope="col" class="navbox-title" colspan="2"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1239400231"><div class="navbar plainlinks hlist navbar-mini"><ul><li class="nv-view"><a href="/wiki/Template:Microtechnology" title="Template:Microtechnology"><abbr title="View this template">v</abbr></a></li><li class="nv-talk"><a href="/wiki/Template_talk:Microtechnology" title="Template talk:Microtechnology"><abbr title="Discuss this template">t</abbr></a></li><li class="nv-edit"><a href="/wiki/Special:EditPage/Template:Microtechnology" title="Special:EditPage/Template:Microtechnology"><abbr title="Edit this template">e</abbr></a></li></ul></div><div id="Microtechnology" style="font-size:114%;margin:0 4em"><a href="/wiki/Microtechnology" title="Microtechnology">Microtechnology</a></div></th></tr><tr><td class="navbox-abovebelow" colspan="2"><div> <ul><li><a href="/wiki/Microelectromechanical_systems" class="mw-redirect" title="Microelectromechanical systems">Microelectromechanical systems</a></li> <li><a href="/wiki/Microtechnology" title="Microtechnology">Microtechnology</a></li> <li><a href="/wiki/Micromachinery" title="Micromachinery">Micromachinery</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Basic structures</th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Interdigital_transducer" title="Interdigital transducer">Interdigital transducer</a></li> <li><a href="/wiki/Cantilever#In_microelectromechanical_systems" title="Cantilever">Cantilever</a></li> <li><a href="/wiki/Microchannel_(microtechnology)" title="Microchannel (microtechnology)">Microchannel</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Applications</th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"></div><table class="nowraplinks navbox-subgroup" style="border-spacing:0"><tbody><tr><th scope="row" class="navbox-group" style="width:7em"><a href="/wiki/Sensor" title="Sensor">Sensors</a></th><td class="navbox-list-with-group navbox-list navbox-even" style="padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Microbolometer" title="Microbolometer">Microbolometer</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:7em"><a href="/wiki/Actuator" title="Actuator">Actuators</a></th><td class="navbox-list-with-group navbox-list navbox-odd" style="padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Comb_drive" title="Comb drive">Comb drive</a></li> <li><a href="/wiki/Scratch_drive_actuator" title="Scratch drive actuator">Scratch drive actuator</a></li> <li><a href="/wiki/MEMS_thermal_actuator" class="mw-redirect" title="MEMS thermal actuator">Thermal actuator</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:7em"><a href="/wiki/Switch" title="Switch">Switches</a></th><td class="navbox-list-with-group navbox-list navbox-even" style="padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Digital_micromirror_device" title="Digital micromirror device">Digital micromirror device</a></li> <li><a href="/wiki/Optical_switch" class="mw-redirect" title="Optical switch">Optical switch</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:7em">Other</th><td class="navbox-list-with-group navbox-list navbox-odd" style="padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Millipede_memory" title="Millipede memory">Millipede memory</a></li> <li><a href="/wiki/Radio-frequency_microelectromechanical_system" title="Radio-frequency microelectromechanical system">Radio-frequency microelectromechanical systems</a></li> <li><a href="/wiki/Microoptoelectromechanical_systems" title="Microoptoelectromechanical systems">Microoptoelectromechanical systems</a></li> <li><a href="/wiki/Microphotonics" title="Microphotonics">Microphotonics</a></li> <li><a href="/wiki/Bio-MEMS" title="Bio-MEMS">Biological microelectromechanical systems</a></li> <li><a href="/wiki/Category:Microfluidics" title="Category:Microfluidics">Microfluidics</a></li> <li><a href="/wiki/Micropower" title="Micropower">Micropower</a></li></ul> </div></td></tr></tbody></table><div></div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%">Processes</th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"></div><table class="nowraplinks navbox-subgroup" style="border-spacing:0"><tbody><tr><th scope="row" class="navbox-group" style="width:7em">General</th><td class="navbox-list-with-group navbox-list navbox-even" style="padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Surface_micromachining" title="Surface micromachining">Surface micromachining</a></li> <li><a href="/wiki/Bulk_micromachining" title="Bulk micromachining">Bulk micromachining</a></li> <li><a href="/wiki/Microelectromechanical_systems#High_aspect_ratio_(HAR)_micromachining" class="mw-redirect" title="Microelectromechanical systems">HAR micromachining</a></li> <li><a href="/wiki/Category:Thin_film_deposition" title="Category:Thin film deposition">Deposition</a></li> <li><a href="/wiki/Category:Lithography_(microfabrication)" title="Category:Lithography (microfabrication)">Lithography</a></li> <li><a href="/wiki/Category:Etching_(microfabrication)" title="Category:Etching (microfabrication)">Etching</a></li> <li><a href="/wiki/Wire_bonding" title="Wire bonding">Wire bonding</a></li> <li><a href="/wiki/3D_microfabrication" title="3D microfabrication">3D microfabrication</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:7em">Specific</th><td class="navbox-list-with-group navbox-list navbox-odd" style="padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/LOCOS" title="LOCOS">LOCOS</a></li> <li><a href="/wiki/Shallow_trench_isolation" title="Shallow trench isolation">Shallow trench isolation</a></li> <li><a href="/wiki/LIGA" title="LIGA">LIGA</a></li> <li><a href="/wiki/Lift-off_(microtechnology)" title="Lift-off (microtechnology)">Lift-off</a></li> <li><a href="/wiki/Photolithography" title="Photolithography">Photolithography</a></li> <li><a href="/wiki/Silicon_on_insulator" title="Silicon on insulator">Silicon on insulator</a></li> <li><a href="/wiki/Smart_cut" title="Smart cut">Smart cut</a></li></ul> </div></td></tr></tbody></table><div></div></td></tr></tbody></table></div> <div class="navbox-styles"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1236075235"></div><div role="navigation" class="navbox" aria-labelledby="Levels_of_technological_manipulation_of_matter" style="padding:3px"><table class="nowraplinks hlist mw-collapsible autocollapse navbox-inner" style="border-spacing:0;background:transparent;color:inherit"><tbody><tr><th scope="col" class="navbox-title" colspan="2"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1129693374"><link rel="mw-deduplicated-inline-style" href="mw-data:TemplateStyles:r1239400231"><div class="navbar plainlinks hlist navbar-mini"><ul><li class="nv-view"><a href="/wiki/Template:Levels_of_technological_manipulation_of_matter" title="Template:Levels of technological manipulation of matter"><abbr title="View this template">v</abbr></a></li><li class="nv-talk"><a href="/wiki/Template_talk:Levels_of_technological_manipulation_of_matter" title="Template talk:Levels of technological manipulation of matter"><abbr title="Discuss this template">t</abbr></a></li><li class="nv-edit"><a href="/wiki/Special:EditPage/Template:Levels_of_technological_manipulation_of_matter" title="Special:EditPage/Template:Levels of technological manipulation of matter"><abbr title="Edit this template">e</abbr></a></li></ul></div><div id="Levels_of_technological_manipulation_of_matter" style="font-size:114%;margin:0 4em">Levels of technological manipulation of matter</div></th></tr><tr><td class="navbox-abovebelow" colspan="2"><div> <ul><li><a href="/wiki/Technology" title="Technology">Technology</a></li> <li><a href="/wiki/Orders_of_magnitude_(length)" title="Orders of magnitude (length)">Orders of magnitude (length)</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Megascale_engineering" title="Megascale engineering">Megascale engineering</a></th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Astroengineering" class="mw-redirect" title="Astroengineering">Astroengineering</a></li> <li><a href="/wiki/Climate_engineering" title="Climate engineering">Climate engineering</a></li> <li><a href="/wiki/Megastructure" title="Megastructure">Megastructure</a></li> <li><a href="/wiki/Planetary_engineering" title="Planetary engineering">Planetary engineering</a></li> <li><a href="/wiki/Space_elevator" title="Space elevator">Space elevator</a></li> <li><a href="/wiki/Terraforming" title="Terraforming">Terraforming</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Macro-engineering" title="Macro-engineering">Macro-engineering</a></th><td class="navbox-list-with-group navbox-list navbox-even" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Atlantropa" title="Atlantropa">Atlantropa</a></li> <li><a href="/wiki/Bering_Strait_crossing" title="Bering Strait crossing">Bering Strait crossing</a></li> <li><a href="/wiki/Delta_Works" title="Delta Works">Delta Works</a></li> <li><a href="/wiki/Great_Wall_of_China" title="Great Wall of China">Great Wall of China</a></li> <li><a href="/wiki/Panama_Canal" title="Panama Canal">Panama Canal</a></li> <li><a href="/wiki/Red_Sea_dam" class="mw-redirect" title="Red Sea dam">Red Sea dam</a></li> <li><a href="/wiki/Sahara_Sea" title="Sahara Sea">Sahara Sea</a></li> <li><a href="/wiki/Space_settlement" title="Space settlement">Space settlement</a></li> <li><a href="/wiki/Suez_Canal" title="Suez Canal">Suez Canal</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Microtechnology" title="Microtechnology">Microtechnology</a></th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Microelectromechanical_systems" class="mw-redirect" title="Microelectromechanical systems">Microelectromechanical systems</a></li> <li><a href="/wiki/Micromachinery" title="Micromachinery">Micromachinery</a></li> <li><a href="/wiki/Photolithography" title="Photolithography">Photolithography</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Nanotechnology" title="Nanotechnology">Nanotechnology</a></th><td class="navbox-list-with-group navbox-list navbox-even" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/DNA_nanotechnology" title="DNA nanotechnology">DNA nanotechnology</a></li> <li><a href="/wiki/Implications_of_nanotechnology" class="mw-redirect" title="Implications of nanotechnology">Implications</a></li> <li><a href="/wiki/Molecular_nanotechnology" title="Molecular nanotechnology">Molecular nanotechnology</a></li> <li><a href="/wiki/Molecular_scale_electronics" title="Molecular scale electronics">Molecular scale electronics</a></li> <li><a href="/wiki/Nanobiotechnology" title="Nanobiotechnology">Nanobiotechnology</a></li> <li><a href="/wiki/Nanofoundry" title="Nanofoundry">Nanofoundry</a></li> <li><a href="/wiki/Nanomaterials" title="Nanomaterials">Nanomaterials</a></li> <li><a href="/wiki/Nanoreactor" title="Nanoreactor">Nanoreactor</a></li> <li><a href="/wiki/Regulation_of_nanotechnology" title="Regulation of nanotechnology">Regulation</a></li> <li><a href="/wiki/Wearable_generator" title="Wearable generator">Wearable generator</a></li> <li><a href="/wiki/Wet_nanotechnology" title="Wet nanotechnology">Wet nanotechnology</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Picotechnology" title="Picotechnology">Picotechnology</a></th><td class="navbox-list-with-group navbox-list navbox-odd" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Exotic_atom" title="Exotic atom">Exotic atom</a></li> <li><a href="/wiki/Particle_accelerator" title="Particle accelerator">Particle accelerator</a></li> <li><a href="/wiki/Rydberg_atom" title="Rydberg atom">Rydberg atom</a></li> <li><a href="/wiki/Synthetic_element" title="Synthetic element">Synthetic element</a></li></ul> </div></td></tr><tr><th scope="row" class="navbox-group" style="width:1%"><a href="/wiki/Femtotechnology" title="Femtotechnology">Femtotechnology</a></th><td class="navbox-list-with-group navbox-list navbox-even" style="width:100%;padding:0"><div style="padding:0 0.25em"> <ul><li><a href="/wiki/Femtochemistry" title="Femtochemistry">Femtochemistry</a></li> <li><a href="/wiki/Hafnium_bomb" class="mw-redirect" title="Hafnium bomb">Hafnium bomb</a></li> <li><a href="/wiki/Limits_of_computation" title="Limits of computation">Limits of computation</a></li> <li><a href="/wiki/Mode-locking" class="mw-redirect" title="Mode-locking">Mode-locking</a></li> <li><a href="/wiki/Nuclear_isomer" title="Nuclear isomer">Nuclear isomer</a></li> <li><a href="/wiki/Nucleon" title="Nucleon">Nucleon</a></li></ul> </div></td></tr><tr><td class="navbox-abovebelow" colspan="2"><div> <ul><li><a href="/wiki/History_of_technology" title="History of technology">History of technology</a></li> <li><a href="/wiki/List_of_timelines#Technology" title="List of timelines">Timelines of technology</a></li> <li><a href="/wiki/Engineering" title="Engineering">Engineering</a></li></ul> </div></td></tr></tbody></table></div> <!-- NewPP limit report Parsed by mw‐api‐ext.codfw.main‐7556f8b5dd‐ftnxr Cached time: 20241123070608 Cache expiry: 2592000 Reduced expiry: false Complications: [vary‐revision‐sha1, show‐toc] CPU time usage: 1.220 seconds Real time usage: 1.388 seconds Preprocessor visited node count: 10227/1000000 Post‐expand include size: 264444/2097152 bytes Template argument size: 4759/2097152 bytes Highest expansion depth: 15/100 Expensive parser function count: 6/500 Unstrip recursion depth: 1/20 Unstrip post‐expand size: 547045/5000000 bytes Lua time usage: 0.631/10.000 seconds Lua memory usage: 6152576/52428800 bytes Number of Wikibase entities loaded: 1/400 --> <!-- Transclusion expansion time report (%,ms,calls,template) 100.00% 1108.416 1 -total 61.00% 676.167 1 Template:Reflist 24.14% 267.560 84 Template:Cite_web 18.42% 204.182 22 Template:Cite_journal 6.24% 69.139 1 Template:Authority_control 6.05% 67.024 1 Template:Short_description 4.82% 53.443 9 Template:Cite_book 4.12% 45.680 2 Template:Pagetype 3.91% 43.314 4 Template:Fix 3.47% 38.417 2 Template:Citation_needed --> <!-- Saved in parser cache with key enwiki:pcache:idhash:2154371-0!canonical and timestamp 20241123070612 and revision id 1259081914. Rendering was triggered because: edit-page --> </div><!--esi <esi:include src="/esitest-fa8a495983347898/content" /> --><noscript><img src="https://login.wikimedia.org/wiki/Special:CentralAutoLogin/start?type=1x1" alt="" width="1" height="1" style="border: none; position: absolute;"></noscript> <div class="printfooter" data-nosnippet="">Retrieved from "<a dir="ltr" href="https://en.wikipedia.org/w/index.php?title=Extreme_ultraviolet_lithography&amp;oldid=1259081914">https://en.wikipedia.org/w/index.php?title=Extreme_ultraviolet_lithography&amp;oldid=1259081914</a>"</div></div> <div id="catlinks" class="catlinks" data-mw="interface"><div id="mw-normal-catlinks" class="mw-normal-catlinks"><a href="/wiki/Help:Category" title="Help:Category">Categories</a>: <ul><li><a href="/wiki/Category:Lithography_(microfabrication)" title="Category:Lithography (microfabrication)">Lithography (microfabrication)</a></li><li><a href="/wiki/Category:Extreme_ultraviolet" title="Category:Extreme ultraviolet">Extreme ultraviolet</a></li></ul></div><div id="mw-hidden-catlinks" class="mw-hidden-catlinks mw-hidden-cats-hidden">Hidden categories: <ul><li><a href="/wiki/Category:All_articles_with_bare_URLs_for_citations" title="Category:All articles with bare URLs for citations">All articles with bare URLs for citations</a></li><li><a href="/wiki/Category:Articles_with_bare_URLs_for_citations_from_August_2024" title="Category:Articles with bare URLs for citations from August 2024">Articles with bare URLs for citations from August 2024</a></li><li><a href="/wiki/Category:Articles_with_PDF_format_bare_URLs_for_citations" title="Category:Articles with PDF format bare URLs for citations">Articles with PDF format bare URLs for citations</a></li><li><a href="/wiki/Category:CS1_errors:_periodical_ignored" title="Category:CS1 errors: periodical ignored">CS1 errors: periodical ignored</a></li><li><a href="/wiki/Category:Articles_with_short_description" title="Category:Articles with short description">Articles with short description</a></li><li><a href="/wiki/Category:Short_description_is_different_from_Wikidata" title="Category:Short description is different from Wikidata">Short description is different from Wikidata</a></li><li><a href="/wiki/Category:Articles_containing_potentially_dated_statements_from_2023" title="Category:Articles containing potentially dated statements from 2023">Articles containing potentially dated statements from 2023</a></li><li><a href="/wiki/Category:All_articles_containing_potentially_dated_statements" title="Category:All articles containing potentially dated statements">All articles containing potentially dated statements</a></li><li><a href="/wiki/Category:All_articles_with_unsourced_statements" title="Category:All articles with unsourced statements">All articles with unsourced statements</a></li><li><a href="/wiki/Category:Articles_with_unsourced_statements_from_May_2023" title="Category:Articles with unsourced statements from May 2023">Articles with unsourced statements from May 2023</a></li><li><a href="/wiki/Category:Articles_with_unsourced_statements_from_June_2024" title="Category:Articles with unsourced statements from June 2024">Articles with unsourced statements from June 2024</a></li><li><a href="/wiki/Category:All_pages_needing_factual_verification" title="Category:All pages needing factual verification">All pages needing factual verification</a></li><li><a href="/wiki/Category:Wikipedia_articles_needing_factual_verification_from_January_2019" title="Category:Wikipedia articles needing factual verification from January 2019">Wikipedia articles needing factual verification from January 2019</a></li></ul></div></div> </div> </main> </div> <div class="mw-footer-container"> <footer id="footer" class="mw-footer" > <ul id="footer-info"> <li id="footer-info-lastmod"> This page was last edited on 23 November 2024, at 07:06<span class="anonymous-show">&#160;(UTC)</span>.</li> <li id="footer-info-copyright">Text is available under the <a href="/wiki/Wikipedia:Text_of_the_Creative_Commons_Attribution-ShareAlike_4.0_International_License" title="Wikipedia:Text of the Creative Commons Attribution-ShareAlike 4.0 International License">Creative Commons Attribution-ShareAlike 4.0 License</a>; additional terms may apply. By using this site, you agree to the <a href="https://foundation.wikimedia.org/wiki/Special:MyLanguage/Policy:Terms_of_Use" class="extiw" title="foundation:Special:MyLanguage/Policy:Terms of Use">Terms of Use</a> and <a href="https://foundation.wikimedia.org/wiki/Special:MyLanguage/Policy:Privacy_policy" class="extiw" title="foundation:Special:MyLanguage/Policy:Privacy policy">Privacy Policy</a>. Wikipedia® is a registered trademark of the <a rel="nofollow" class="external text" href="https://wikimediafoundation.org/">Wikimedia Foundation, Inc.</a>, a non-profit organization.</li> </ul> <ul id="footer-places"> <li id="footer-places-privacy"><a href="https://foundation.wikimedia.org/wiki/Special:MyLanguage/Policy:Privacy_policy">Privacy policy</a></li> <li id="footer-places-about"><a href="/wiki/Wikipedia:About">About Wikipedia</a></li> <li id="footer-places-disclaimers"><a href="/wiki/Wikipedia:General_disclaimer">Disclaimers</a></li> <li id="footer-places-contact"><a href="//en.wikipedia.org/wiki/Wikipedia:Contact_us">Contact Wikipedia</a></li> <li id="footer-places-wm-codeofconduct"><a href="https://foundation.wikimedia.org/wiki/Special:MyLanguage/Policy:Universal_Code_of_Conduct">Code of Conduct</a></li> <li id="footer-places-developers"><a href="https://developer.wikimedia.org">Developers</a></li> <li id="footer-places-statslink"><a href="https://stats.wikimedia.org/#/en.wikipedia.org">Statistics</a></li> <li id="footer-places-cookiestatement"><a href="https://foundation.wikimedia.org/wiki/Special:MyLanguage/Policy:Cookie_statement">Cookie statement</a></li> <li id="footer-places-mobileview"><a href="//en.m.wikipedia.org/w/index.php?title=Extreme_ultraviolet_lithography&amp;mobileaction=toggle_view_mobile" class="noprint stopMobileRedirectToggle">Mobile view</a></li> </ul> <ul id="footer-icons" class="noprint"> <li id="footer-copyrightico"><a href="https://wikimediafoundation.org/" class="cdx-button cdx-button--fake-button cdx-button--size-large cdx-button--fake-button--enabled"><img src="/static/images/footer/wikimedia-button.svg" width="84" height="29" alt="Wikimedia Foundation" loading="lazy"></a></li> <li id="footer-poweredbyico"><a href="https://www.mediawiki.org/" class="cdx-button cdx-button--fake-button cdx-button--size-large cdx-button--fake-button--enabled"><img src="/w/resources/assets/poweredby_mediawiki.svg" alt="Powered by MediaWiki" width="88" height="31" loading="lazy"></a></li> </ul> </footer> </div> </div> </div> <div class="vector-settings" id="p-dock-bottom"> <ul></ul> </div><script>(RLQ=window.RLQ||[]).push(function(){mw.config.set({"wgHostname":"mw-web.codfw.main-f69cdc8f6-d7pw2","wgBackendResponseTime":141,"wgPageParseReport":{"limitreport":{"cputime":"1.220","walltime":"1.388","ppvisitednodes":{"value":10227,"limit":1000000},"postexpandincludesize":{"value":264444,"limit":2097152},"templateargumentsize":{"value":4759,"limit":2097152},"expansiondepth":{"value":15,"limit":100},"expensivefunctioncount":{"value":6,"limit":500},"unstrip-depth":{"value":1,"limit":20},"unstrip-size":{"value":547045,"limit":5000000},"entityaccesscount":{"value":1,"limit":400},"timingprofile":["100.00% 1108.416 1 -total"," 61.00% 676.167 1 Template:Reflist"," 24.14% 267.560 84 Template:Cite_web"," 18.42% 204.182 22 Template:Cite_journal"," 6.24% 69.139 1 Template:Authority_control"," 6.05% 67.024 1 Template:Short_description"," 4.82% 53.443 9 Template:Cite_book"," 4.12% 45.680 2 Template:Pagetype"," 3.91% 43.314 4 Template:Fix"," 3.47% 38.417 2 Template:Citation_needed"]},"scribunto":{"limitreport-timeusage":{"value":"0.631","limit":"10.000"},"limitreport-memusage":{"value":6152576,"limit":52428800}},"cachereport":{"origin":"mw-api-ext.codfw.main-7556f8b5dd-ftnxr","timestamp":"20241123070608","ttl":2592000,"transientcontent":false}}});});</script> <script type="application/ld+json">{"@context":"https:\/\/schema.org","@type":"Article","name":"Extreme ultraviolet lithography","url":"https:\/\/en.wikipedia.org\/wiki\/Extreme_ultraviolet_lithography","sameAs":"http:\/\/www.wikidata.org\/entity\/Q371965","mainEntity":"http:\/\/www.wikidata.org\/entity\/Q371965","author":{"@type":"Organization","name":"Contributors to Wikimedia projects"},"publisher":{"@type":"Organization","name":"Wikimedia Foundation, Inc.","logo":{"@type":"ImageObject","url":"https:\/\/www.wikimedia.org\/static\/images\/wmf-hor-googpub.png"}},"datePublished":"2005-07-02T04:44:27Z","dateModified":"2024-11-23T07:06:06Z","image":"https:\/\/upload.wikimedia.org\/wikipedia\/commons\/c\/cd\/EUV_photoelectrons_and_secondaries_%28vector%29.svg","headline":"a next-generation lithography technology using an extreme ultraviolet (EUV) wavelength, currently expected to be 13.5 nm."}</script> </body> </html>

Pages: 1 2 3 4 5 6 7 8 9 10