CINXE.COM

Nmi Presentation Sept 2007 | PDF

<!DOCTYPE html><html lang="en"><script id="gtm"> performance.mark('gtm.start'); (function (w, d, s, l, i) { w[l] = w[l] || []; w[l].push({ 'gtm.start': new Date().getTime(), event: 'gtm.js' }); var f = d.getElementsByTagName(s)[0], j = d.createElement(s), dl = l != 'dataLayer' ? '&l=' + l : ''; j.async = true; j.src = 'https://www.googletagmanager.com/gtm.js?id=' + i + dl; j.onload = function () { performance.mark('gtm.end'); performance.measure('gtm', 'gtm.start', 'gtm.end'); }; f.parentNode.insertBefore(j, f); })(window, document, 'script', 'dataLayer', 'GTM-M36RG8PT'); </script><head><meta charSet="utf-8"/><meta name="viewport" content="width=device-width"/><link rel="shortcut icon" href="https://public.slidesharecdn.com/_next/static/media/favicon.7bc3d920.ico"/><script> window.eventsToSend = window.eventsToSend || [] window.eventsToSend.push({ name: "page_rendering", timestamp: new Date().toISOString(), value: JSON.stringify({ time: performance?.now() }) }) </script><script type="speculationrules">{"prerender":[{"where":{"and":[{"href_matches":"/slideshow/*"}]},"eagerness":"moderate"}]}</script><title>Nmi Presentation Sept 2007 | PDF</title><meta name="robots" content="index, follow"/><meta name="title" content="Nmi Presentation Sept 2007"/><meta name="description" content="Nmi Presentation Sept 2007 - Download as a PDF or view online for free"/><link rel="canonical" href="https://www.slideshare.net/AdrianOShaughnessy/nmi-presentation-sept-2007"/><link rel="alternate" hrefLang="en" href="https://www.slideshare.net/AdrianOShaughnessy/nmi-presentation-sept-2007"/><link rel="alternate" hrefLang="x-default" href="https://www.slideshare.net/AdrianOShaughnessy/nmi-presentation-sept-2007"/><link rel="preconnect" href="https://a.pub.network/" crossorigin="anonymous"/><link rel="preconnect" href="https://b.pub.network/" crossorigin="anonymous"/><link rel="preconnect" href="https://c.pub.network/" crossorigin="anonymous"/><link rel="preconnect" href="https://d.pub.network/" crossorigin="anonymous"/><link rel="preconnect" href="https://c.amazon-adsystem.com" crossorigin="anonymous"/><link rel="preconnect" href="https://s.amazon-adsystem.com" crossorigin="anonymous"/><link rel="preconnect" href="https://secure.quantserve.com/" crossorigin="anonymous"/><link rel="preconnect" href="https://rules.quantcount.com/" crossorigin="anonymous"/><link rel="preconnect" href="https://pixel.quantserve.com/" crossorigin="anonymous"/><link rel="preconnect" href="https://btloader.com/" crossorigin="anonymous"/><link rel="preconnect" href="https://api.btloader.com/" crossorigin="anonymous"/><link rel="preconnect" href="https://confiant-integrations.global.ssl.fastly.net" crossorigin="anonymous"/><link rel="preload" href="https://a.pub.network/slideshare-net/pubfig.min.js" as="script"/><script> window.freestarReactCompontentLoaded = true; // Prevents Freestar from appending the script a second time; typo is theirs window.freestar = window.freestar || { queue: [] }; window.freestar.config = freestar.config || {}; window.freestar.config.disabledProducts = { googleInterstitial: false, }; window.eventsToSend = window.eventsToSend || []; window.freestar.queue.push(function () { window.eventsToSend.push({ name: "freestar_queue_start", timestamp: new Date().toISOString() }) }); </script><meta name="twitter:site" content="@SlideShare"/><meta name="twitter:card" content="player"/><meta name="twitter:title" content="Nmi Presentation Sept 2007"/><meta name="twitter:description" content="Nmi Presentation Sept 2007 - Download as a PDF or view online for free"/><meta name="twitter:image" content="https://cdn.slidesharecdn.com/ss_thumbnails/nmipresentationsept2007-12870663346649-phpapp01-thumbnail.jpg?width=640&amp;height=640&amp;fit=bounds"/><meta name="twitter:image:alt" content="Nmi Presentation Sept 2007"/><meta name="twitter:player" content="https://www.slideshare.net/slideshow/embed_code/key/B5pZ1xX2MxDbN9"/><meta name="twitter:player:width" content="670"/><meta name="twitter:player:height" content="715"/><meta name="twitter:app:name:googleplay" content="SlideShare Android"/><meta name="twitter:app:id:googleplay" content="net.slideshare.mobile"/><meta name="twitter:app:name:iphone" content="SlideShare iOS"/><meta name="twitter:app:id:iphone" content="917418728"/><meta name="twitter:app:url:iphone" content="slideshare-app://ss/165952101"/><meta name="twitter:app:name:ipad" content="SlideShare iOS"/><meta name="twitter:app:id:ipad" content="917418728"/><meta name="twitter:app:url:ipad" content="slideshare-app://ss/165952101"/><meta property="og:site_name" content="SlideShare"/><meta property="og:type" content="website"/><meta property="og:url" content="https://www.slideshare.net/AdrianOShaughnessy/nmi-presentation-sept-2007"/><meta property="og:title" content="Nmi Presentation Sept 2007"/><meta property="og:description" content="Nmi Presentation Sept 2007 - Download as a PDF or view online for free"/><meta property="og:image" content="https://cdn.slidesharecdn.com/ss_thumbnails/nmipresentationsept2007-12870663346649-phpapp01-thumbnail.jpg?width=640&amp;height=640&amp;fit=bounds"/><meta property="og:image:alt" content="Nmi Presentation Sept 2007"/><meta property="og:image:type" content="image/webp"/><meta property="og:image:width" content="640"/><meta property="og:image:height" content="360"/><script type="application/ld+json">{"@context":"http://schema.org","@type":"CreativeWork","name":"Nmi Presentation Sept 2007","description":"The document discusses layout challenges at the 90nm technology node. It covers analog layout challenges including shallow trench isolation (STI) stress and well proximity effects that can degrade transistor performance. For RF layout, it discusses the importance of minimizing interconnect and device parasitics. Interconnect parasitics like resistance and capacitance can be reduced by shorter lengths, wider widths, and using higher metal layers. Device parasitics are also discussed and how optimizing the drain area of differential pairs by folding can help minimize parasitic capacitance effects.","url":"https://www.slideshare.net/AdrianOShaughnessy/nmi-presentation-sept-2007","datePublished":"2010-10-14 09:27:04 UTC","publisher":{"@type":"Organization","name":"Slideshare","url":"https://www.slideshare.net/"},"encodingFormat":"application/pdf","fileFormat":"pdf","inLanguage":"en","mainEntityOfPage":{"@type":"WebPage","@id":"https://www.slideshare.net/AdrianOShaughnessy/nmi-presentation-sept-2007"}}</script><script> window.freestar?.queue.push(function() { googletag.pubads().setTargeting('test', []); }); </script><meta name="next-head-count" content="55"/><link rel="preload" href="https://public.slidesharecdn.com/_next/static/media/b6a6f0b43d027304-s.p.woff2" as="font" type="font/woff2" crossorigin="anonymous" data-next-font="size-adjust"/><link rel="preload" href="https://public.slidesharecdn.com/_next/static/media/9cf9c6e84ed13b5e-s.p.woff2" as="font" type="font/woff2" crossorigin="anonymous" data-next-font="size-adjust"/><noscript data-n-css=""></noscript><link rel="preload" href="https://cmp.osano.com/AzZdHGSGtpxCq1Cpt/079b27eb-bb3f-48dd-9bd9-3feb8aec3c38/osano.js" as="script"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/chunks/webpack-67055304329fa938.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/chunks/framework-bd6a9caeb00dbf2a.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/chunks/main-8d3871035d267ecc.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/chunks/pages/_app-fe4b7e9e674d6e61.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/chunks/5121-c12bfd4bed7c2139.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/chunks/6534-44b1f6b92564c66b.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/chunks/1674-c4fa4f8080779ca8.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/chunks/pages/%5Busername%5D/%5Btitle%5D-d24299bd88a3ca9f.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/b030862495cc07c0e7871314a377e645db7d1165/_buildManifest.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/b030862495cc07c0e7871314a377e645db7d1165/_ssgManifest.js"/><style>:root{--black:#000;--white:#fff;--alice-blue-100:#f5fcff;--alice-blue-200:#f2faff;--alice-blue-300:#f2f9fc;--alice-blue-600:#ddf1f9;--celadon-blue:#027eb0;--celadon-blue-dark:#026c97;--midnight-green-dark:#002e3c;--light-gray:#e9edf8;--pale-gray:#fdfdfd;--snow-gray:#f3f6fd;--snow-gray-100:#f9faff;--blue-gray-100:#f5f6fa;--blue-gray-200:#e3e6f0;--blue-gray-300:#d0d6e6;--blue-gray-400:#b4bbd1;--blue-gray-500:#989fbc;--blue-gray-575:#6d7594;--blue-gray-600:#636c8b;--blue-gray-700:#424766;--blue-gray-800:#212240;--blue-gray-900:#0e0f25;--blue-300:#005e93;--blue-200:#0076b9;--blue-100:#e7f5fc;--gray-200:#c0c4cc;--gray-500:#303133;--tangerine-100:#fbedd8;--color-info:#3aa7ea;--color-error:#bf3131;--color-success:#337c41;--color-warning:#cd5308;--color-error-red-100:#f2dede;--celadon-blue-rgb:2,126,176;--blue-gray-600-rgb:99,108,139;--color-twitter:#1da1f2;--color-twitter-hover:#1a91da;--color-facebook:#3b5998;--color-facebook-hover:#3c5586;--color-linkedin:#337ab1;--color-linkedin-hover:#2e6e9f;--color-scribd:#1e7b85;--color-scribd-hover:#1d7680;--color-sms:#3b3835;--color-email:#bbc4c8;color-scheme:only light;--header-height:64px;--toolbar-height:54px;--progress-bar-height:8px;--pushdown-height:90px;--adhesion-height:0px;--key-moments-size:140px;--sections-size:185px;--sidebar-size:404px;--metadata-toolbar-height:100px;--metadata-toolbar-offset:0px;--metadata-toolbar-shadow-opacity:0;--actions-menu-height:74px;--max-content-width:1688px;--border-radius:8px;--sticky-index:8;--header-index:11;--dropdown-index:99;--freestar-index:999;--progress-bar-index:9999;--popup-index:9999;--toast-index:99999;--pointly-index:2;--slide-actions-index:3;--font-weight-light:300;--font-weight-regular:400;--font-weight-medium:600;--font-weight-bold:700;--font-weight-black:900;--font-size-large:16px;--font-size-medium:14px;--font-size-small:12px;--font-size-xsmall:10px;--line-height-relax:1.5;--line-height-compact:1.15;--line-height-tight:1;--ease:cubic-bezier(0.2,0,0,1)}@media screen and (max-width:1249px){:root{--sidebar-size:364px}}@media screen and (max-width:1024px){:root{--header-height:75px}}@media screen and (max-width:767px){:root{--pushdown-height:50px}}@media only screen and (min-width:929px){:root{--actions-menu-height:0}}*,:after,:before{box-sizing:border-box}*{margin:0}:focus-visible{outline:2px solid rgba(2,126,176,.7);outline-offset:2px}body,html{min-height:100%}html{scroll-behavior:smooth;scrollbar-gutter:stable}body{background-color:var(--white);font-family:var(--font-family);font-size:var(--font-size-medium);line-height:var(--line-height-relax);overflow:clip scroll;text-rendering:optimizeLegibility;-webkit-text-size-adjust:100%;-webkit-font-smoothing:antialiased;-moz-osx-font-smoothing:grayscale}canvas,img,picture,video{display:block;max-width:100%}button,input,select,textarea{font-family:var(--font-family)}h1,h2,h3,h4,h5,h6,p{overflow-wrap:break-word}#__next,#root{isolation:isolate;min-height:100%;height:-moz-max-content;height:max-content}::-moz-selection{color:var(--blue-gray-900);background-color:var(--blue-gray-200)}::selection{color:var(--blue-gray-900);background-color:var(--blue-gray-200)}body:has(dialog[open]){overflow:clip}body:has(dialog.scrollable[open]){overflow:auto}header:has(+aside.visible){box-shadow:none}header:has(+aside:not(.visible)){box-shadow:0 1px 0 0 var(--blue-gray-200)}.content-wrapper{width:100%;max-width:var(--max-content-width);margin-inline:auto}.clamp-lines{-webkit-box-orient:vertical;-webkit-line-clamp:2;line-clamp:2;display:-webkit-box}.clamp-lines,.ellipsis{text-overflow:ellipsis;overflow:hidden}.ellipsis{white-space:nowrap}.seo-only,.sr-only{position:absolute!important;width:1px;height:1px;border:0;clip:rect(1px,1px,1px,1px);clip-path:inset(50%);padding:0;margin:-1px;overflow:hidden;white-space:nowrap}.no-scroll,body[class^=no-scroll-for-]{overflow:hidden;touch-action:none}.hide-scrollbar{-ms-overflow-style:none;scrollbar-width:none}.hide-scrollbar::-webkit-scrollbar{display:none}.unstyled-button{background:none;border:none;padding:0}body:has(#slideshare_pushdown-pushdown-cls iframe){--pushdown-height:90px}body:has(.fs-pushdown-sticky:empty){--pushdown-height:0px}@media only screen and (max-width:928px){#fs-sticky-footer{bottom:var(--actions-menu-height)}}@media only screen and (min-width:1051px){body:has(#slideshare_pushdown-pushdown-cls.fs-pushdown-sticky){--metadata-toolbar-offset:0px!important}}.metadata-toolbar{top:var(--metadata-toolbar-offset)}body:has(#fs-sticky-footer iframe) #fixed-download-container{bottom:var(--adhesion-height,0)}body:not(:has(#fs-sticky-footer iframe)) #fs-sticky-footer{min-height:0!important}dialog[open]{display:grid;grid-template-rows:max-content;opacity:1}dialog[open]::backdrop{opacity:.6}dialog{max-width:100dvw;max-height:100dvh;box-shadow:0 0 0 1px rgba(9,30,66,.08),0 2px 1px rgba(9,30,66,.08),0 0 20px -6px rgba(9,30,66,.3);border:0;padding:0;margin:auto;overflow:clip}dialog,dialog::backdrop{opacity:0;transition:opacity .3s ease-out,transform .3s ease-out,translate .3s ease-out,display allow-discrete .3s,overlay allow-discrete .3s}dialog::backdrop{background-color:#000}@starting-style{dialog[open],dialog[open]::backdrop{opacity:0}}.popover.open,[popover]:popover-open{opacity:1;scale:1}[popover]:popover-open::backdrop{opacity:0}.popover.floating-ui{display:none;z-index:var(--popup-index)}.popover.floating-ui.open{display:block;opacity:1}.popover,[popover]{position:absolute;inset:auto;color:var(--blue-gray-800);background-color:canvas;border:0;border-radius:4px;box-shadow:0 1px 5px rgba(0,0,0,.05),0 4px 12px rgba(0,0,0,.2);overscroll-behavior:contain;overflow:clip auto;padding:0;margin-block:1ch;scale:.96}.popover,[popover],[popover]::backdrop{transition:opacity .3s,scale .3s,display allow-discrete .2s,overlay allow-discrete .2s;opacity:0}@starting-style{.popover.open,[popover]:popover-open,[popover]:popover-open::backdrop{opacity:0}.popover.open,[popover]:popover-open{scale:.96}}.popover [data-popover-list],[popover] [data-popover-list]{display:flex;flex-direction:column;list-style:none;padding-inline:0;padding-block:8px}.popover [data-popover-item],[popover] [data-popover-item]{width:100%;display:flex;align-items:center;gap:8px;padding-block:12px;padding-inline:16px;color:inherit;text-decoration:none;cursor:pointer}.popover [data-popover-item]:hover,[popover] [data-popover-item]:hover{background-color:var(--blue-gray-100)}.popover [role=separator],[popover] [role=separator]{margin-block:8px;margin-inline:16px}@supports (anchor-name:--foo-bar){[popover]{position-try-order:most-height;position-visibility:anchors-visible}[popover][data-popover-position=bottom]{position-area:bottom;position-try:flip-block;transform-origin:top}[popover][data-popover-position=bottom-start]{position-area:bottom span-right;position-try:flip-block,flip-inline;transform-origin:top left}[popover][data-popover-position=bottom-end]{position-area:bottom span-left;position-try:flip-block,flip-inline;transform-origin:top right}[popover][data-popover-position=top]{position-area:top;position-try:flip-block}[popover][data-popover-position=top-start]{position-area:top span-right;position-try:flip-block,flip-inline;transform-origin:bottom left}[popover][data-popover-position=top-end]{position-area:top span-left;position-try:flip-block,flip-inline;transform-origin:bottom right}[popover][data-popover-position=left]{position-area:left;position-try:flip-inline;transform-origin:right}[popover][data-popover-position=left-start]{position-area:left span-bottom;position-try:flip-block,flip-inline;transform-origin:top right}[popover][data-popover-position=left-end]{position-area:left span-top;position-try:flip-block,flip-inline;transform-origin:bottom right}[popover][data-popover-position=right]{position-area:right;position-try:flip-inline;transform-origin:left}[popover][data-popover-position=right-start]{position-area:right span-bottom;position-try:flip-block,flip-inline;transform-origin:top left}[popover][data-popover-position=right-end]{position-area:right span-top;position-try:flip-block,flip-inline;transform-origin:bottom left}}#fs-sticky-footer,.orp-player-wrapper{z-index:var(--freestar-index)!important}.fs-sticky-parent{position:static!important}.fs-sticky-wrapper{padding-right:0!important;display:block!important}#slideshare_pushdown-pushdown-cls.fs-pushdown-sticky{position:static!important}body.no-scroll-mobile #slideshare_pushdown-pushdown-cls{height:auto}body.gallery-view #slideshare_pushdown-pushdown-cls{display:none}#pushdown-ad-large{display:grid;justify-content:center;align-items:center}#above-recs-desktop-ad-sm,#above-recs-mobile,#below-reader-ad,#between-recs-ad-1,#between-recs-ad-2{height:280px}@media screen and (min-width:929px){#below-reader-ad,#between-recs-ad-1,#between-recs-ad-2{height:250px}#above-recs-desktop-ad-sm,#above-recs-desktop-ad-sm-2{aspect-ratio:336/280;height:280px;margin-bottom:32px}#above-recs-desktop-ad-sm_container{justify-content:left!important}}@media only screen and (min-width:1051px){body{--padding-x-offset:clamp(1rem,-1.6rem + 8vw,8rem);--margin-x-offset:0px;--end:calc(var(--padding-x-offset) + var(--sidebar-size) + var(--margin-x-offset))}#fs-sticky-footer:has(#slideshare_adhesion){left:0;width:calc(100% - var(--end))}#fs-sticky-footer:has(#slideshare_adhesion2){width:var(--end);left:unset;right:0}}@media only screen and (min-width:1689px){body{--margin-x-offset:calc(calc(100% - var(--max-content-width)) / 2)}}div:has(>#between-recs-ad-1),div:has(>#between-recs-ad-2),div:has(>#interstitial-ad-1),div:has(>[id^=slideshare_docs_incontent]){display:grid;justify-content:center}div:has(>#interstitial-ad-1.video-interstitial-ad){display:block}@media screen and (max-width:928px){div:has(>#above-recs-mobile),div:has(>#below-reader-ad){display:grid;justify-content:center}}#fs-sticky-footer.hidden,.orp-player-wrapper.hidden{display:none!important}@media only screen and (max-width:928px){#fs-sticky-footer.hidden-on-mobile,.orp-player-wrapper.hidden-on-mobile{display:none!important}}div[id^=FreeStarVideoAdContainer_]{width:100%}#freestar-video-child:not(:empty){margin-bottom:24px}div[id^=FreeStarVideoAdContainer_FloatingOnly]{width:auto;aspect-ratio:auto}:root{--shadow-color:rgba(122,61,143,.15);--osano-dialog-shadow:drop-shadow(var(--shadow-color) 0.5px 1px 1px) drop-shadow(var(--shadow-color) 1px 2px 2px) drop-shadow(var(--shadow-color) 2px 4px 4px) drop-shadow(var(--shadow-color) 4px 8px 8px) drop-shadow(var(--shadow-color) 8px 16px 16px)}.osano-cm-dialog{background-color:#212240;color:var(--white)}.osano-cm-dialog__close{color:inherit;stroke:var(--white)}.osano-cm-dialog--eu{display:grid;grid-template-columns:1fr 200px;grid-column-gap:48px;-moz-column-gap:48px;column-gap:48px;max-height:95dvh;font-family:Source Sans Pro,sans-serif;color:var(--blue-gray-600,#636c8b);background-color:var(--white,#fff);border-top-left-radius:var(--border-radius,8px);border-top-right-radius:var(--border-radius,8px);filter:var(--osano-dialog-shadow);padding-block-start:32px;padding-block-end:20px;padding-inline:5%;.osano-cm-dialog__content{max-height:calc(95dvh - 52px)}.osano-cm-dialog__close{display:none}.osano-cm-dialog__title{font-size:24px;font-weight:700;color:var(--blue-gray-900,#0e0f25);margin-block-end:16px}.osano-cm-content__usage-list{font-size:16px}.osano-cm-usage-list__list{margin-block-start:8px;margin-block-end:16px;margin-inline-start:8px;.osano-cm-list__list-item{font-size:16px}}.osano-cm-link,.osano-cm-message{font-size:16px}.osano-cm-message{display:flex}.osano-cm-drawer-links,.osano-cm-link{display:inline}.osano-cm-link{color:var(--celadon-blue,#027eb0);font-weight:600;text-decoration:none;&:hover{color:var(--celadon-blue-dark,#026c97)}&:after{content:"|";padding-inline:12px}&:last-child:after{content:""}}.osano-cm-dialog__list{line-height:normal;margin-block-start:24px;.osano-cm-list__list-item{display:inline-flex;align-items:center;&:after{content:"|";padding-inline:16px;color:var(--blue-gray-200,#e3e6f0);font-size:28px}&:last-child:after{content:""}}}.osano-cm-toggle__switch{display:none}.osano-cm-toggle__input{width:16px;height:16px;margin:unset;overflow:unset;accent-color:var(--blue-gray-900,#0e0f25);position:static;opacity:1}.osano-cm-toggle__label.osano-cm-label{color:var(--blue-gray-600,#636c8b);font-size:18px;font-weight:600;margin-left:8px;margin-inline-end:0}.osano-cm-dialog__buttons{min-width:unset;align-self:flex-end;display:grid;grid-row-gap:8px;row-gap:8px;justify-content:stretch;align-items:center;margin:unset;.osano-cm-buttons__button{height:-moz-max-content;height:max-content;min-height:40px;font-size:16px;font-weight:600;margin:unset}.osano-cm-accept-all{order:-1}.osano-cm-accept-all,.osano-cm-manage{background-color:var(--celadon-blue,#027eb0)}.osano-cm-deny,.osano-cm-denyAll,.osano-cm-save{background-color:transparent;color:var(--celadon-blue,#027eb0);border:1px solid var(--celadon-blue,#027eb0)}}}.osano-cm-dialog--eu.osano-cm-dialog--br{.osano-cm-dialog__title{font-size:26px;font-weight:600}.osano-cm-dialog__buttons{row-gap:12px}}.osano-cm-window:has(>.osano-cm-dialog--br-overlay):before{content:"";position:fixed;inset:0;background-color:rgba(0,0,0,.6);z-index:-1}@media screen and (max-width:768px){.osano-cm-dialog--eu{-moz-column-gap:24px;column-gap:24px;grid-template-columns:1fr;padding-block-end:32px;padding-inline:16px;.osano-cm-dialog__buttons{display:flex;flex-wrap:nowrap;align-items:center;justify-content:flex-start;gap:16px;.osano-cm-buttons__button{width:-moz-max-content;width:max-content}}}.osano-cm-dialog--eu.osano-cm-dialog--br{.osano-cm-link,.osano-cm-message{font-size:16px;width:auto}.osano-cm-dialog__buttons{margin-block-start:24px;row-gap:16px}}}@media screen and (max-width:520px){.osano-cm-dialog--eu{font-size:14px;padding-block-start:16px;padding-block-end:16px;.osano-cm-dialog__title{font-size:20px;margin-block-end:8px}.osano-cm-link,.osano-cm-message{font-size:inherit}.osano-cm-usage-list__list{font-size:12px;.osano-cm-list__list-item{font-size:inherit}}.osano-cm-toggle__label.osano-cm-label{font-size:15px}.osano-cm-dialog__list{width:100%;display:flex;flex-direction:column;margin-block-start:8px;.osano-cm-list__list-item{display:grid;border-bottom:1px solid var(--blue-gray-200,#e3e6f0);padding-block:4px;&:last-child{border-bottom:unset}}.osano-cm-list__list-item:after{content:unset}.osano-cm-list-item__toggle{align-self:baseline;justify-content:space-between;.osano-cm-toggle__label{order:-1;margin:unset}}}.osano-cm-dialog__buttons{display:grid;grid-template-columns:1fr 1fr;grid-column-gap:16px;-moz-column-gap:16px;column-gap:16px;grid-row-gap:12px;row-gap:12px;margin-block-start:0;.osano-cm-buttons__button{min-width:-moz-max-content;min-width:max-content;width:unset;justify-self:stretch}.osano-cm-accept-all{grid-column:1/span 2}}}.osano-cm-dialog--eu.osano-cm-dialog--br{padding-block-start:32px;padding-block-end:32px;.osano-cm-dialog__title{font-size:26px;margin-block-end:16px}.osano-cm-link,.osano-cm-message{font-size:16px;width:auto}.osano-cm-dialog__buttons{margin-block-start:24px;row-gap:16px}}}@media screen and (min-width:929px){.osano-cm-widget{display:none}.osano-cm-content__message{margin-bottom:8px;padding-bottom:0;width:90%}.osano-cm-message{font-size:12px}.osano-cm-drawer-links{margin:0}.osano-cm-link{color:var(--celadon-blue);font-size:12px}.osano-cm-list__list-item{font-size:12px}.osano-cm-dialog__buttons{display:flex;align-items:center;gap:6px}.osano-cm-button{height:44px;font-size:14px;background-color:var(--celadon-blue);border-color:var(--celadon-blue);color:var(--white);padding:10px;margin:3px 0}.osano-cm-denyAll{background-color:var(--blue-gray-800);border-color:var(--white);color:var(--white)}}.osano-cm-widget{display:none}.osano-cm-content__message{margin-bottom:8px;padding-bottom:0;width:90%}.osano-cm-message{font-size:12px}.osano-cm-drawer-links{margin:0}.osano-cm-link{color:var(--celadon-blue);font-size:12px}.osano-cm-list__list-item{font-size:12px}.osano-cm-dialog__buttons{display:flex;align-items:center;gap:6px}.osano-cm-button{height:44px;font-size:14px;background-color:var(--celadon-blue);border-color:var(--celadon-blue);color:var(--white);padding:10px;margin:3px 0}.osano-cm-denyAll{background-color:var(--blue-gray-800);border-color:var(--white);color:var(--white)}@font-face{font-family:__Source_Sans_3_11ceb6;font-style:normal;font-weight:200 900;font-display:swap;src:url(https://public.slidesharecdn.com/_next/static/media/88da59c891d37117-s.woff2) format("woff2");unicode-range:u+0460-052f,u+1c80-1c8a,u+20b4,u+2de0-2dff,u+a640-a69f,u+fe2e-fe2f}@font-face{font-family:__Source_Sans_3_11ceb6;font-style:normal;font-weight:200 900;font-display:swap;src:url(https://public.slidesharecdn.com/_next/static/media/1e377d93cbdd6b44-s.woff2) format("woff2");unicode-range:u+0301,u+0400-045f,u+0490-0491,u+04b0-04b1,u+2116}@font-face{font-family:__Source_Sans_3_11ceb6;font-style:normal;font-weight:200 900;font-display:swap;src:url(https://public.slidesharecdn.com/_next/static/media/36d711d427a06e51-s.woff2) format("woff2");unicode-range:u+1f??}@font-face{font-family:__Source_Sans_3_11ceb6;font-style:normal;font-weight:200 900;font-display:swap;src:url(https://public.slidesharecdn.com/_next/static/media/f1f7cdf240180e28-s.woff2) format("woff2");unicode-range:u+0370-0377,u+037a-037f,u+0384-038a,u+038c,u+038e-03a1,u+03a3-03ff}@font-face{font-family:__Source_Sans_3_11ceb6;font-style:normal;font-weight:200 900;font-display:swap;src:url(https://public.slidesharecdn.com/_next/static/media/55fbdf033607a0e1-s.woff2) format("woff2");unicode-range:u+0102-0103,u+0110-0111,u+0128-0129,u+0168-0169,u+01a0-01a1,u+01af-01b0,u+0300-0301,u+0303-0304,u+0308-0309,u+0323,u+0329,u+1ea0-1ef9,u+20ab}@font-face{font-family:__Source_Sans_3_11ceb6;font-style:normal;font-weight:200 900;font-display:swap;src:url(https://public.slidesharecdn.com/_next/static/media/b6a6f0b43d027304-s.p.woff2) format("woff2");unicode-range:u+0100-02ba,u+02bd-02c5,u+02c7-02cc,u+02ce-02d7,u+02dd-02ff,u+0304,u+0308,u+0329,u+1d00-1dbf,u+1e00-1e9f,u+1ef2-1eff,u+2020,u+20a0-20ab,u+20ad-20c0,u+2113,u+2c60-2c7f,u+a720-a7ff}@font-face{font-family:__Source_Sans_3_11ceb6;font-style:normal;font-weight:200 900;font-display:swap;src:url(https://public.slidesharecdn.com/_next/static/media/9cf9c6e84ed13b5e-s.p.woff2) format("woff2");unicode-range:u+00??,u+0131,u+0152-0153,u+02bb-02bc,u+02c6,u+02da,u+02dc,u+0304,u+0308,u+0329,u+2000-206f,u+20ac,u+2122,u+2191,u+2193,u+2212,u+2215,u+feff,u+fffd}@font-face{font-family:__Source_Sans_3_Fallback_11ceb6;src:local("Arial");ascent-override:109.21%;descent-override:42.66%;line-gap-override:0.00%;size-adjust:93.76%}.__className_11ceb6{font-family:__Source_Sans_3_11ceb6,__Source_Sans_3_Fallback_11ceb6,Inter,-apple-system,BlinkMacSystemFont,Segoe UI,Roboto,Oxygen,Ubuntu,Cantarell,Fira Sans,Droid Sans,Helvetica Neue,sans-serif;font-style:normal}.__variable_11ceb6{--font-family:"__Source_Sans_3_11ceb6","__Source_Sans_3_Fallback_11ceb6",Inter,-apple-system,BlinkMacSystemFont,Segoe UI,Roboto,Oxygen,Ubuntu,Cantarell,Fira Sans,Droid Sans,Helvetica Neue,sans-serif}.PageProgressBar_root__1y_Q3{position:fixed;top:0;left:0;width:100%;z-index:var(--progress-bar-index);pointer-events:none}.PageProgressBar_bar__Kyoem{width:100%;height:3px;background-color:var(--celadon-blue);transform:scaleX(0);transform-origin:left;transition:transform .4s cubic-bezier(.4,0,.2,1),opacity .5s ease;opacity:1}.PageProgressBar_complete__KhJy3{opacity:0}.ErrorShell_root__zYUH8{min-height:100vh;display:flex;flex-direction:column}.Header_root__8A86O{--fluid-16-24:clamp(1rem,0.7373rem + 1.3136vi,1.5rem);position:relative;height:var(--header-height);display:grid;grid-template-columns:auto 1fr;align-items:center;grid-gap:24px;gap:24px;background-color:var(--white);font-family:inherit;padding-inline:var(--fluid-16-24)}.Header_root__8A86O.Header_sticky__NGNE7{position:sticky;top:0;transition:box-shadow .3s ease-in-out;z-index:var(--header-index)}.Header_root__8A86O button{font-size:14px;white-space:nowrap}.Header_wrapper___zBfP{display:flex;align-items:center;flex-shrink:0;gap:12px}@media screen and (max-width:1320px){.Header_root__8A86O.Header_biggerSearch__Ce0Hq{grid-template-columns:auto 1fr auto}}@media screen and (min-width:768px){.Header_root__8A86O{grid-template-columns:repeat(3,minmax(min-content,1fr))}}.Hamburger_root__tHlgC{display:grid;place-content:center;background:transparent;border:0;border-radius:2px;color:var(--blue-gray-600);padding:0;margin:0;cursor:pointer}@media screen and (min-width:768px){.Hamburger_root__tHlgC{display:none}}.SidebarFallback_loggedOutRoot__oKMfe{display:grid;grid-template-rows:170px 1fr}.SidebarFallback_loggedOutContainer__Tpr_u{display:flex;flex-direction:column;padding:16px}.SidebarFallback_loggedInRoot__r1CEd{display:grid;grid-template-rows:64px 1fr}.SidebarFallback_loggedInContainer__dw_ML{display:flex;flex-direction:column;gap:16px;padding:16px}.SidebarFallback_loggedInLinks__C2NaL{display:grid;grid-gap:24px;gap:24px}.SidebarFallback_loggedInUser__b8i41{display:flex;align-items:center;gap:8px;margin-block-start:12px;margin-block-end:auto}.Skeleton_root__U4QqL{--skeleton-bg:rgba(0,0,0,.1);--shimmer-bg:linear-gradient(90deg,transparent,rgba(0,0,0,.04),transparent);position:relative;display:block;height:1.2rem;flex-shrink:0;background:var(--skeleton-bg);overflow:hidden}.Skeleton_root__U4QqL:after{content:"";position:absolute;inset:0;translate:-100% 0;background:var(--shimmer-bg);animation:Skeleton_shimmer__hGst9 2s ease-in-out .5s infinite}.Skeleton_circle___fxTG{aspect-ratio:1/1;border-radius:100vmax;height:unset}.Skeleton_rectangle__UY3OD{border-radius:0}.Skeleton_rounded__BLBq2{border-radius:4px}.Skeleton_text___JusF{border-radius:4px;font-size:1rem;scale:1 .6}.Skeleton_text___JusF:before{content:"\00a0"}.Skeleton_list__xNehW{display:flex;flex-direction:column;gap:6px;padding-inline:8px;padding-block:10px}.Skeleton_copy__qgw2R{display:flex;flex-direction:column;padding-block:12px;padding-inline:16px}.Skeleton_copy__qgw2R .skeleton:first-child{margin-block-end:8px}@keyframes Skeleton_shimmer__hGst9{0%{translate:-100% 0}50%{translate:100% 0}to{translate:100% 0}}.Icon_root__AjZyv{--size:24px;position:relative;display:flex;align-items:center;justify-content:center}.Icon_icon__4zzsG{flex-shrink:0;display:inline-block;width:var(--size);height:var(--size);-webkit-mask-position:center;mask-position:center;-webkit-mask-repeat:no-repeat;mask-repeat:no-repeat;-webkit-mask-size:cover;mask-size:cover;vertical-align:middle}.Drawer_root__ob4ZM[open]{translate:0 0}.Drawer_root__ob4ZM{position:fixed;inset:0;border-radius:0;translate:var(--slide-from)}@starting-style{.Drawer_root__ob4ZM[open]{translate:var(--slide-from)}}.Drawer_root__ob4ZM[data-placement=left]{--slide-from:-100% 0;inset-inline-end:auto;border-top-right-radius:var(--border-radius);border-bottom-right-radius:var(--border-radius)}.Drawer_root__ob4ZM[data-placement=right]{--slide-from:100% 0;inset-inline-start:unset;border-top-left-radius:var(--border-radius);border-bottom-left-radius:var(--border-radius)}.Drawer_root__ob4ZM[data-placement=bottom]{--slide-from:0 100%;inset-block-start:unset;border-top-left-radius:var(--border-radius);border-top-right-radius:var(--border-radius)}.Drawer_content__ye6ZB{display:grid;overflow:auto}.Drawer_root__ob4ZM[data-placement=left],.Drawer_root__ob4ZM[data-placement=right]{width:min(280px,80vw);height:100dvh}.Drawer_root__ob4ZM[data-placement=bottom]{width:100dvw;max-height:80dvh}.CloseButton_root__JCTRm{position:absolute;right:16px;top:16px;width:40px;height:40px;display:grid;place-content:center;color:var(--blue-gray-600);border-radius:100vmax;background-color:transparent;border:0;padding:0;margin:0;transition:background-color .2s ease-in-out;cursor:pointer}.CloseButton_root__JCTRm:hover{background-color:rgba(var(--blue-gray-600-rgb),.05)}.Logo_root__Qba3h{flex-shrink:0}.SearchForm_root__usp4s{position:relative;justify-self:center;width:min(100%,440px)}.SearchForm_root__usp4s form{display:grid}.SearchForm_root__usp4s input{height:40px;border-radius:100vmax;border:1px solid var(--blue-gray-600);margin:0;padding:0 40px 0 16px;color:var(--blue-gray-900);background-color:var(--white);width:100%}.SearchForm_root__usp4s.SearchForm_biggerSearch__syu70{width:100%}.SearchForm_root__usp4s input::-moz-placeholder{color:var(--blue-gray-600)}.SearchForm_root__usp4s input::placeholder{color:var(--blue-gray-600)}div.SearchForm_popover__K3_9y{left:anchor(left);right:anchor(right)}div.SearchForm_popoverContent__O_56c{max-width:100%}div.SearchForm_popoverContent__O_56c p{--webkit-line-clamp:unset;line-clamp:unset}.SearchForm_hidden__rZp69{display:none!important}.SearchForm_submit__U8kPR{width:32px;height:32px;position:absolute;right:14px;top:50%;display:grid;place-content:center;border:none;background:transparent;border-radius:100vmax;color:var(--blue-gray-400);padding:0;margin:0;translate:0 -50%;cursor:pointer}.SearchForm_expressivePlaceholder__6nNw5{position:absolute;top:10px;left:20px;height:20px;overflow:hidden;white-space:nowrap;pointer-events:none;max-width:100%;display:flex;padding-right:60px}.SearchForm_expressiveLabel__7_0_U{display:block;color:var(--blue-gray-575);font-size:14px;max-width:100%;overflow:hidden;text-overflow:ellipsis;white-space:nowrap}.SearchForm_expressiveLabelMobile__ZmtzP{display:none}@media screen and (max-width:928px){.SearchForm_expressiveLabelDesktop__D0GoT,.SearchForm_popover__K3_9y{display:none}.SearchForm_expressiveLabelMobile__ZmtzP{display:block}}@media screen and (max-width:768px){.SearchForm_root__usp4s{justify-self:flex-end}}.PopoverContent_root__Wu_Vr{max-width:332px;padding-block:12px;padding-inline:16px;display:flex;gap:10px;align-items:flex-start}.PopoverContent_root__Wu_Vr .PopoverContent_title__U2Bf2{display:flex;align-items:center;justify-content:space-between;font-size:1rem;margin-bottom:4px}.PopoverContent_inner__Ulol1{display:flex;flex-direction:column}.PopoverContent_root__Wu_Vr .PopoverContent_title__U2Bf2 span{line-height:18px;font-weight:600}.PopoverContent_accentBubble__E3IDn{margin-left:34px}.PopoverContent_root__Wu_Vr p{-webkit-box-orient:vertical;-webkit-line-clamp:3;display:-webkit-box;color:var(--blue-gray-600);font-size:14px;line-height:18px;line-clamp:5;overflow:hidden}.AccentBubble_root__DZ4aE{padding:4px 12px;border-radius:100px;background:var(--tangerine-100);font-size:11px;font-weight:600;color:var(--gray-500);display:flex;text-align:center;justify-content:center}.HeaderActions_root__11_ai{display:flex;align-items:center;justify-self:flex-end;gap:16px}@media screen and (max-width:768px){.HeaderActions_root__11_ai{display:none}}.Footer_footer__N3WmV{width:100%;display:flex;flex-direction:column;gap:24px;background-color:var(--midnight-green-dark);color:var(--blue-gray-400);padding:24px;margin-top:auto}.Footer_footer__N3WmV .separator{opacity:.3}.Footer_top__y0vfl{display:flex;justify-content:space-between;align-items:center;gap:24px}.Footer_links__F2xFZ{color:inherit;display:flex;align-items:center;flex-wrap:wrap;-moz-column-gap:32px;column-gap:32px;row-gap:12px;padding:0;margin:0}.Footer_cookiePreferenceButton__lDgkB{order:4;background-color:transparent;border:none;color:inherit;font-size:inherit;font-weight:800;cursor:pointer}.Footer_top__y0vfl .everand-link{order:8}.Footer_bottom__reaXc{display:flex;align-items:center;gap:24px}.Footer_copyright__dje7H{font-size:14px;line-height:24px;margin-right:auto}.Footer_icons__8EufG{display:flex;align-items:center;gap:16px}.Link_root__vn3ab{display:inline-flex;align-items:center;gap:4px;border-radius:2px;color:inherit;font-size:inherit;font-weight:inherit;text-decoration:none;-webkit-text-decoration-color:transparent;text-decoration-color:transparent;transition:color .2s ease-in-out,-webkit-text-decoration-color .2s ease-in-out .2s;transition:color .2s ease-in-out,text-decoration-color .2s ease-in-out .2s;transition:color .2s ease-in-out,text-decoration-color .2s ease-in-out .2s,-webkit-text-decoration-color .2s ease-in-out .2s}.Link_primary__Iq4CI{color:var(--celadon-blue-dark)}.Link_primary__Iq4CI:focus,.Link_primary__Iq4CI:focus-visible,.Link_primary__Iq4CI:hover{color:var(--celadon-blue)}.Link_gray__Efpxa{color:var(--blue-gray-600)}.Link_gray__Efpxa:focus,.Link_gray__Efpxa:focus-visible,.Link_gray__Efpxa:hover{color:var(--blue-gray-700)}.Link_light__mcUPh{color:var(--blue-gray-400)}.Link_light__mcUPh:focus,.Link_light__mcUPh:focus-visible,.Link_light__mcUPh:hover{color:var(--blue-gray-100)}.Link_dark__Ql4LW{color:var(--blue-gray-800)}.Link_dark__Ql4LW:focus,.Link_dark__Ql4LW:focus-visible,.Link_dark__Ql4LW:hover{color:var(--celadon-blue)}.Link_weight-regular__yPpnB{font-weight:400}.Link_weight-medium__h0ic3{font-weight:600}.Link_weight-bold__me4nt{font-weight:700}.Link_size-small__wSSrC{font-size:12px}.Link_size-medium__ZLo12{font-size:14px}.Link_size-large__W0PAv{font-size:16px}.Link_size-xLarge__Dq0j8{font-size:18px}.Link_hoverUnderline__QMNau:hover,.Link_underline__RoQbh{text-decoration:underline;-webkit-text-decoration-color:inherit;text-decoration-color:inherit}.Link_hoverUnderline__QMNau:hover{text-decoration-thickness:1.5px;text-underline-offset:2px}.LanguageSelect_trigger__XUC_9{height:40px;display:flex;align-items:center;gap:8px;color:var(--white);background-color:transparent;border:1px solid var(--white);border-radius:4px;font-weight:700;padding-inline:12px;cursor:pointer}.LanguageSelect_trigger__XUC_9 span:first-child{transform-origin:center;transition:rotate .2s}.LanguageSelect_trigger__XUC_9.LanguageSelect_flipped__OJ2FL span:first-child{rotate:z 90deg}.Separator_root__70Ime{background-color:var(--color);flex-shrink:0;justify-self:stretch;align-self:stretch}.Separator_horizontal__czVEa{height:1px}.Separator_vertical__JYCCK{width:1px}.PrivateContentMessage_root__IEx17{flex-grow:1;width:100%;height:100%;display:flex;align-items:flex-start}.PrivateContentMessage_box__YG0Bp{width:100%;max-width:960px;background-color:#f2dede;border-color:#eed3d7;border-radius:8px;color:#b94a48;font-size:14px;font-weight:400;padding:16px 24px;margin:100px auto}@media screen and (max-width:960px){.PrivateContentMessage_box__YG0Bp{margin-left:16px;margin-right:16px}}.RemovedContentMessage_root__rtN5X{flex-grow:1;width:100%;display:flex;align-items:flex-start}.RemovedContentMessage_box__pw1z3{width:100%;max-width:960px;background-color:#fcf8e3;border:1px solid #fbeed5;border-radius:4px;color:#c09853;font-size:14px;font-weight:400;text-shadow:0 1px 0 hsla(0,0%,100%,.5);padding:16px 24px;margin:100px auto}.RemovedContentMessage_title__vwHjN{color:#504c48;font-size:25px;font-weight:300;line-height:35px;margin-bottom:4px}@media screen and (max-width:960px){.RemovedContentMessage_box__pw1z3{margin-left:16px;margin-right:16px}}.ErrorDisplay_root__bY_Qo{height:100%;display:flex;flex-direction:column;align-items:center;justify-content:center;background:var(--white);font-family:-system-ui,sans-serif;color:var(--blue-gray-800)}.ErrorDisplay_smiley__o9HSN{width:129px;height:122px;margin:60px auto 30px}.ErrorDisplay_errorBox__jL_9h{max-width:800px;width:100%;height:290px;background:var(--white) url(/images/fadedlogo.jpg) no-repeat left top;background-position:14px 20px;margin:0 auto 120px}.ErrorDisplay_errorCode__AlZal{border-right:1px solid var(--blue-gray-100);color:var(--blue-gray-500);float:left;font-size:1.3em;height:290px;line-height:370px;margin:0 25px 0 0;vertical-align:bottom;text-align:center;width:200px}.ErrorDisplay_errorBox__jL_9h h1{font-weight:400;margin-bottom:0}.ErrorDisplay_errorBox__jL_9h h2{font-size:.9em;font-weight:400;margin-top:0}.ErrorDisplay_errorBox__jL_9h h3{font-size:1em;font-weight:700;margin:10px 0}.ErrorDisplay_errorBox__jL_9h ul{list-style-type:none}.ErrorDisplay_errorBox__jL_9h ul li{background:transparent url(/images/arrow.png) no-repeat left 6px;float:left;font-size:.813em;font-weight:400;list-style-type:none;margin:.313em;padding-left:20px;width:110px}.ErrorDisplay_middle__espDJ{padding-right:3.5em}.ErrorDisplay_searchSection__1J_EK{background:var(--blue-gray-100) url(/images/searchbg.jpg) repeat-x left top;border:1px solid var(--blue-gray-300);float:left;padding:10px;margin-top:20px}.ErrorDisplay_searchSection__1J_EK form{display:flex}.ErrorDisplay_searchSection__1J_EK button{background:url(/images/searchbutton.jpg) repeat-x scroll 0 0 #ffb762;border:1px solid #db9b51;color:var(--blue-gray-800);cursor:pointer;float:left;font-size:1em;margin:0 0 0 .8px;padding:9px 15px;outline:0 none;text-decoration:none;text-shadow:1px 1px 1px var(--white);vertical-align:top}.ErrorDisplay_searchSection__1J_EK button span{background:#ffb762 url(/images/magnifier.jpg) no-repeat 0 0;padding:0 8px;margin-left:5px;text-decoration:none}.ErrorDisplay_errorSearchBox__Uglib{border-color:var(--blue-gray-500) var(--blue-gray-400) var(--blue-gray-400) var(--blue-gray-500);border-width:1px;color:var(--blue-gray-600);float:left;font-size:13px;margin-left:2px;padding:9px;vertical-align:top;width:350px}@media screen and (max-width:768px){.ErrorDisplay_searchSection__1J_EK{width:calc(100% - 240px)}.ErrorDisplay_errorSearchBox__Uglib{width:calc(100% - 128px);margin:0 auto}}.ToastManager_root__mVUMQ{position:fixed;inset-block-end:0;inset-inline:0;display:grid;justify-items:flex-end;justify-content:flex-end;grid-gap:1vh;gap:1vh;padding-block-end:5vh;padding-right:1rem;pointer-events:none;z-index:var(--toast-index)}@media only screen and (max-width:928px){.ToastManager_root__mVUMQ{justify-items:center;justify-content:center;padding-right:0;top:0;padding-block-start:2vh;inset-block-end:unset}}.Toast_root__ZhPa4{min-width:360px;max-width:360px;display:grid;grid-template-columns:max-content 1fr repeat(2,max-content);grid-gap:4px;gap:4px;background-color:var(--background);border-radius:8px;color:var(--white);padding-block:28px;padding-inline:24px;pointer-events:all;opacity:1;transition:opacity .3s ease-in-out}@starting-style{.Toast_root__ZhPa4{opacity:0}}.Toast_info__g9NV8{--background:var(--midnight-green-dark)}.Toast_success__sWJ1E{--background:var(--color-success)}.Toast_error__SQpXK{--background:var(--color-error)}.Toast_warning__7GyxY{--background:var(--color-warning)}.Toast_root__ZhPa4>svg{flex-shrink:0;margin-top:2px}.Toast_content__whMkq{font-weight:400;font-size:16px;line-height:24px;word-wrap:break-word}.Toast_separator__dUZVN{width:1px;background-color:var(--blue-gray-500);opacity:.3;margin-inline:8px}.Toast_dismiss___YbdO{color:var(--blue-gray-300);cursor:pointer}.Toast_dismiss___YbdO:hover{color:var(--white)}.PortalsContainer_drawerRoot__esMLC{position:fixed;top:0;left:0}.PortalsContainer_bannerRoot__Q_sNw{position:relative}.PortalsContainer_drawerRoot__esMLC{z-index:101} /*# sourceMappingURL=f7d7028a4f5d9d61.css.map*/</style><style>.SlideshowScreen_root__k9j1_{width:100%;max-width:var(--max-content-width);position:relative;display:grid;place-content:flex-start;grid-template-columns:minmax(0,1fr) var(--sidebar-size);grid-template-rows:auto auto 1fr;background-color:var(--white);padding-block:1px;padding-inline:clamp(1rem,-1.6rem + 8vw,8rem);margin-inline:auto}.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe{grid-template-columns:var(--key-moments-size) minmax(0,1fr) var(--sidebar-size)}.SlideshowScreen_root__k9j1_.SlideshowScreen_withSections__cJqYu{grid-template-columns:var(--sections-size) minmax(0,1fr) var(--sidebar-size)}.SlideshowScreen_root__k9j1_:before{content:"";position:absolute;inset:0 0 auto;height:1px;background-color:var(--blue-gray-200);margin-inline:-50vw}.SlideshowScreen_root__k9j1_ .sidebar{border-left:1px solid var(--blue-gray-200);grid-row:1/-1;grid-column:2}.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe .sidebar{grid-column:3}.SlideshowScreen_root__k9j1_ .metadata{grid-column:1/-2;grid-row:1}.SlideshowScreen_root__k9j1_ .metadata-toolbar{grid-column:1/-2;grid-row:2}.SlideshowScreen_root__k9j1_ .player{grid-column:1}@media screen and (min-width:929px){.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe .player{grid-column:2;grid-row:3}}.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe .key-moments{grid-column:1;grid-row:3}@media screen and (min-width:929px){.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe .player{border-left:1px solid var(--blue-gray-200)}.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe .metadata{padding-inline-end:24px}}@media screen and (min-width:929px) and (max-width:1050px){.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe{grid-template-columns:var(--key-moments-size) minmax(0,1fr);padding-inline:0}.SlideshowScreen_root__k9j1_.SlideshowScreen_withSections__cJqYu{grid-template-columns:var(--sections-size) minmax(0,1fr)}.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe .metadata,.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe .metadata-toolbar{grid-column:1/-1;padding-inline:16px}}@media screen and (max-width:928px){.SlideshowScreen_root__k9j1_:before{display:none}.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe{grid-template-columns:minmax(0,1fr)!important}.SlideshowScreen_root__k9j1_ .metadata,.SlideshowScreen_root__k9j1_ .metadata-toolbar,.SlideshowScreen_root__k9j1_ .player{grid-column:1/-1}}.PrivateContentScreen_root__qdfD9{gap:30px}.PasswordForm_root__Pnyoo,.PrivateContentScreen_root__qdfD9{min-height:calc(100svh - 2 * var(--header-height));background-color:var(--blue-gray-100);display:flex;flex-direction:column;align-items:center;justify-content:center}.PasswordForm_root__Pnyoo{gap:20px}.Form_root__NTbyO{display:flex;flex-direction:column;gap:10px}.Form_root__NTbyO button[type=submit]{align-self:flex-start}.Button_root__i1yp0{--size:44px;--foreground:var(--white);--background:var(--celadon-blue);--border:var(--celadon-blue);--fontSize:16px;--padding-x:14px;position:relative;min-width:48px;min-height:var(--size);display:flex;align-items:center;border-radius:4px;border:none;background-color:var(--background);color:var(--foreground);font-size:var(--fontSize);font-weight:var(--font-weight-medium);padding-inline:var(--padding-x);transition:color .2s ease-in-out,background-color .2s ease-in-out;cursor:pointer}.Button_root__i1yp0 span{display:flex;align-items:center;gap:4px;opacity:1;transition:opacity .2s ease-in-out}.Button_large__Yv_oe{--fontSize:18px;--size:48px;--padding-x:20px}.Button_regular__i28NX{--fontSize:18px;--size:44px}.Button_medium__H8pKi{--size:40px}.Button_small__sqsEx{--size:32px;--padding-x:12px}.Button_xsmall__jBPCG{--fontSize:14px;--size:24px;--padding-x:8px}.Button_root__i1yp0.Button_icon__1C4qi{justify-content:center;min-width:24px;padding:0}.Button_icon__1C4qi{width:var(--size);height:var(--size)}.Button_spinner__iLPXf{position:absolute;top:50%;left:50%;transform:translate(-50%,-50%);opacity:0;visibility:hidden;transition:opacity .2s ease-in-out,visibility .2s ease-in-out}.Button_loading__ZYqeE .Button_spinner__iLPXf{opacity:1;visibility:visible;transition-delay:0s}.Button_loading__ZYqeE span{opacity:0}.Button_fullWidth__dRbM6{width:100%;justify-content:center}.Button_fullWidth__dRbM6 span{justify-content:center}.Button_disabled__M5jJf{opacity:.8;pointer-events:none;-webkit-user-select:none;-moz-user-select:none;user-select:none}.Button_primary__K25Gq.Button_contained__gyjai{--foreground:var(--white);--background:var(--celadon-blue);--border:var(--celadon-blue)}.Button_primary__K25Gq.Button_contained__gyjai:active,.Button_primary__K25Gq.Button_contained__gyjai:hover{--background:var(--celadon-blue-dark);--border:var(--celadon-blue-dark)}.Button_primary__K25Gq.Button_outlined__oZHqK{border:1px solid var(--border);--foreground:var(--celadon-blue-dark);--background:transparent;--border:var(--celadon-blue-dark)}.Button_primary__K25Gq.Button_outlined__oZHqK:hover{--foreground:var(--blue-gray-800);--background:rgba(var(--celadon-blue-rgb),0.05);--border:var(--blue-gray-800)}.Button_primary__K25Gq.Button_outlined__oZHqK:active{--foreground:var(--celadon-blue-dark);--background:rgba(var(--celadon-blue-rgb),0.1);--border:var(--celadon-blue)}.Button_primary__K25Gq.Button_text__ZT_3O{--foreground:var(--celadon-blue-dark);--background:transparent;--border:transparent}.Button_primary__K25Gq.Button_text__ZT_3O:hover{--foreground:var(--celadon-blue-dark);--background:rgba(var(--celadon-blue-rgb),0.05);--border:transparent}.Button_primary__K25Gq.Button_text__ZT_3O:active{--foreground:var(--celadon-blue);--background:rgba(var(--celadon-blue-rgb),0.1);--border:transparent}.Button_secondary__hHiHI.Button_contained__gyjai{--foreground:var(--white);--background:var(--blue-gray-600);--border:var(--blue-gray-600)}.Button_secondary__hHiHI.Button_contained__gyjai:hover{--background:var(--blue-gray-700);--border:var(--blue-gray-700)}.Button_secondary__hHiHI.Button_contained__gyjai:active{--background:var(--blue-gray-500);--border:var(--blue-gray-500)}.Button_secondary__hHiHI.Button_outlined__oZHqK{border:1px solid var(--border);--foreground:var(--blue-gray-600);--background:transparent;--border:var(--blue-gray-600)}.Button_secondary__hHiHI.Button_outlined__oZHqK:hover{--foreground:var(--blue-gray-700);--background:rgba(var(--blue-gray-600-rgb),0.05);--border:var(--blue-gray-700)}.Button_secondary__hHiHI.Button_outlined__oZHqK:active{--foreground:var(--blue-gray-600);--background:rgba(var(--blue-gray-600-rgb),0.1);--border:var(--blue-gray-500)}.Button_secondary__hHiHI.Button_regular__i28NX{border:1px solid var(--border);--foreground:var(--blue-gray-700);--background:var(--white);--border:var(--blue-gray-200)}.Button_secondary__hHiHI.Button_regular__i28NX:hover{--background:var(--blue-gray-100)}.Button_secondary__hHiHI.Button_text__ZT_3O{--foreground:var(--blue-gray-600);--background:transparent;--border:transparent}.Button_secondary__hHiHI.Button_text__ZT_3O:hover{--foreground:var(--blue-gray-700);--background:rgba(var(--blue-gray-600-rgb),0.05);--border:transparent}.Button_secondary__hHiHI.Button_text__ZT_3O:active{--foreground:var(--blue-gray-600);--background:rgba(var(--blue-gray-600-rgb),0.1);--border:transparent}.Button_tertiary__ae6C5{--foreground:var(--blue-gray-700);--background:var(--white);border:1px solid var(--blue-gray-200)}.Button_tertiary__ae6C5:hover{--background:var(--blue-gray-100)}.Button_tertiary__ae6C5.Button_active__YNf7E,.Button_tertiary__ae6C5:active{--background:var(--blue-gray-200)}.Dropdown_container__NBOZ_{display:flex;flex-direction:column;gap:8px;font-family:var(--font-family);width:100%;max-width:280px}.Dropdown_select__8pQOA{border:1px solid var(--blue-gray-500);border-radius:4px;font-size:16px;font-weight:400;color:var(--blue-gray-900);margin:0;padding:8px 20px 8px 8px;background:transparent}.Dropdown_select__8pQOA:hover{border:1px solid var(--blue-gray-600);background:transparent}.Dropdown_select__8pQOA:focus{outline:none;border:1px solid var(--celadon-blue)}.Dropdown_footerText__NChWz{color:var(--blue-gray-600);font-size:11px;font-weight:400}.Dropdown_error__QI4FY{border:1px solid var(--color-error)}.Label_label__KH246{font-weight:600;font-size:14px;font-family:var(--font-family);color:var(--blue-gray-600);margin:0;-webkit-user-select:none;-moz-user-select:none;user-select:none}.Label_error__jqh1I{color:var(--color-error)}.RequiredNotice_required___q_RJ{display:flex;align-items:center;gap:8px;color:var(--blue-gray-600);font-size:11px;font-weight:400}.RequiredNotice_error__eBy3b{color:var(--color-error)}.Input_container__QSkRF{display:flex;flex-direction:column;gap:8px;font-family:var(--font-family);width:100%;max-width:280px}.Input_input__Q0qP2{padding:8px;border:1px solid var(--blue-gray-500);border-radius:4px;font-size:16px;font-weight:400;color:var(--blue-gray-900);margin:0;height:46px}.Input_input__Q0qP2:hover{border:1px solid var(--blue-gray-600)}.Input_input__Q0qP2:focus{outline:none;border:1px solid var(--celadon-blue)}.Input_error__l9OaT{border:1px solid var(--color-error)}.Input_characterLimit__4NkYE{color:var(--blue-gray-600);font-size:11px;font-weight:400}.Input_characterLimitError__ZByP3{color:var(--color-error)}.Input_footer__55AdV{display:flex;justify-content:space-between}.Input_footerAlignRight__DG8UZ{justify-content:flex-end}.Text_root__is73U{display:inline-block;padding:0;margin:0}.Text_primary__rZf7F{color:var(--blue-gray-900)}.Text_secondary__EPWj0{color:var(--blue-gray-600)}.Text_success__Mcw5I{color:var(--color-success)}.Text_error__pdEO6{color:var(--color-error)}.Text_warning__xCiZk{color:var(--color-warning)}.Text_white__Sb1IU{color:#fff}.Text_rowTitle__U1gB1{font-size:28px;line-height:35px}.Text_xlarge__X3NtK{font-size:20px;line-height:26px}.Text_large__c_Tar{font-size:18px;line-height:26px}.Text_medium__rk8Tn{font-size:16px;line-height:22px}.Text_small__S0zKm{font-size:14px;line-height:18px}.Text_xsmall__vYp9p{font-size:12px;line-height:15px}.Text_xxsmall__kOJdm{font-size:11px;line-height:14px}.Text_disabled___vAZA{color:var(--blue-gray-500)}.Text_italic__ZZFbJ{font-style:italic}.Text_weight-light__Rh8tc{font-weight:300}.Text_weight-regular__gWC16{font-weight:400}.Text_weight-medium__oIdYz{font-weight:500}.Text_weight-strong__yEO2S{font-weight:600}.Text_weight-bold__xnsIS{font-weight:700}.Text_ellipsis__QNjvO{max-width:100%;overflow:hidden;text-overflow:ellipsis;white-space:nowrap}.Text_lineClamp__SO_Pl{overflow:hidden;text-overflow:ellipsis;display:-webkit-box;-webkit-box-orient:vertical}.TextArea_container__oc_q4{display:flex;flex-direction:column;gap:8px;font-family:var(--font-family);width:100%;max-width:280px}.TextArea_textarea__VyrNQ{padding:8px;border:1px solid var(--blue-gray-500);border-radius:4px;font-size:16px;font-weight:400;color:var(--blue-gray-900);font-family:var(--font-family);min-height:150px;margin:0}.TextArea_textarea__VyrNQ:hover{border:1px solid var(--blue-gray-600)}.TextArea_textarea__VyrNQ:focus{outline:none;border:1px solid var(--celadon-blue);background:transparent}.TextArea_error__o_XpL{border:1px solid var(--color-error)}.TextArea_characterLimit__hm9El{color:var(--blue-gray-600);font-size:11px;font-weight:400}.TextArea_characterLimitError__UbPM9{color:var(--color-error)}.TextArea_footer__EG4wA{display:flex;justify-content:space-between}.TextArea_footerAlignRight__PmO7Z{justify-content:flex-end}.TagInput_container__4lPGD{display:flex;flex-direction:column;gap:8px;font-family:var(--font-family);width:100%;max-width:280px}.TagInput_input__lsGqa{border:none;flex:1 1;min-width:100px;height:30px}.TagInput_tag__9BE6f{border-radius:20px;padding:4px 8px;border:1px solid var(--blue-gray-300);display:flex;gap:8px;font-size:14px;color:var(--blue-gray-600);font-weight:600;align-items:center}.TagInput_closeTag__oeDgn{border:none;background-color:transparent;padding:0;color:var(--blue-gray-500);font-size:10px;margin:0}.TagInput_closeTag__oeDgn:hover{opacity:.75;cursor:pointer}.TagInput_inputContainer__dl2dW{box-sizing:border-box;padding:8px;border:1px solid var(--blue-gray-500);border-radius:4px;font-size:16px;font-weight:400;color:var(--blue-gray-900);width:100%;display:flex;gap:8px}.TagInput_inputContainer__dl2dW:hover{border:1px solid var(--blue-gray-600)}.TagInput_input__lsGqa:focus{outline:none;border-radius:4px;border:1px solid var(--celadon-blue)}.TagInput_error__INAMJ{border:1px solid var(--color-error)}.TagInput_errorText__Dq2QW{display:flex;align-items:center;gap:8px;color:var(--color-error);font-size:11px;font-weight:400}.TagInput_autocompleteContainer__RgOvw{position:relative}.TagInput_autocomplete__nGD9D{background-color:var(--white);display:flex;flex-direction:column;width:100%;position:absolute;left:0;right:0;top:-8px;box-shadow:0 .5px 5px rgba(0,0,0,.039),0 3.75px 11px rgba(0,0,0,.19);border-radius:4px;overflow-y:scroll;z-index:3}.TagInput_suggestion__k4kfh{padding:8px;color:var(--blue-gray-600);font-weight:600;text-decoration:underline;text-underline-offset:1.5px}.TagInput_suggestion__k4kfh:hover{cursor:pointer;color:var(--blue-gray-600)}.TagInput_focusedSuggestion__QnSXo,.TagInput_suggestion__k4kfh:hover{background-color:var(--blue-gray-200)}.TagInput_tagContainer__LflB5{display:flex;gap:8px;flex-wrap:wrap;width:100%}.TagInput_footerText__O_1t4{color:var(--blue-gray-600);font-size:11px;font-weight:400}.Selector_container__v2jVr{display:flex;flex-direction:column;gap:8px;font-family:var(--font-family);width:100%;max-width:280px}.Selector_selector__U2foh{border-radius:4px;display:flex;width:100%}.Selector_selector__U2foh button{border:1px solid var(--blue-gray-200);padding:6px 0;flex:1 1;margin:0;background-color:transparent}.Selector_selector__U2foh button:enabled:hover{cursor:pointer;background-color:var(--blue-gray-100)}.Selector_selector__U2foh button.Selector_selected__nyxjH:enabled:hover{background-color:var(--celadon-blue-dark)}.Selector_selector__U2foh button:first-child{border-radius:4px 0 0 4px}.Selector_selector__U2foh button:last-child{border-radius:0 4px 4px 0}.Selector_selector__U2foh button.Selector_selected__nyxjH{border:1px solid var(--celadon-blue);background-color:var(--celadon-blue)}.Selector_selector__U2foh button.Selector_selected__nyxjH .Selector_text__Rs7F7{color:var(--white)}.Selector_selector__U2foh button.Selector_selected__nyxjH:focus{outline-offset:4px}.Selector_selectorContainer__h4Mg1{display:flex;align-items:center;gap:4px}.Selector_icon__Y9S90{color:var(--blue-gray-500)}.Selector_text__Rs7F7{margin:0;font-weight:400;font-size:16px;font-family:Source Sans Pro,sans-serif}.IconWithExplanation_container__21T3S{position:relative;display:inline-flex}.IconWithExplanation_explanation___qwbw{position:absolute;top:50%;transform:translateY(-50%);left:120%;background-color:#fff;border-radius:4px;box-shadow:0 .5px 5px rgba(0,0,0,.039),0 3.75px 11px rgba(0,0,0,.19);z-index:3;padding:8px;width:150px}.IconWithExplanation_container__21T3S:hover .IconWithExplanation_explanation___qwbw{display:block}.IconWithExplanation_arrow__fCL_Y{content:"";position:absolute;left:-10px;margin-left:-5px;top:50%;transform:translateY(-50%);border:10px solid transparent;border-right-color:#fff}@media (max-width:768px){.IconWithExplanation_arrow__fCL_Y{margin-right:-5px;margin-left:0;border-color:transparent transparent transparent #fff;left:auto;right:-10px}.IconWithExplanation_explanation___qwbw{left:auto;right:120%}}.Checkbox_wrapper__HI5JR{display:flex;gap:10px;align-items:center}.Checkbox_input__RZSBL:hover,.Checkbox_label__7BF2Y:hover,.Checkbox_wrapper__HI5JR:hover{cursor:pointer}.Checkbox_wrapper__HI5JR .Checkbox_label__7BF2Y{font-weight:400;color:var(--blue-gray-900);margin:0}.Checkbox_wrapper__HI5JR .Checkbox_input__RZSBL{width:20px;height:20px;accent-color:var(--celadon-blue);margin:0}.Checkbox_wrapper__HI5JR:hover .Checkbox_input__RZSBL{accent-color:var(--celadon-blue-dark)}.Checkbox_wrapper__HI5JR:hover .Checkbox_label__7BF2Y{color:var(--celadon-blue-dark)}.RadioButton_root__592m2{--size:16px;--borderSize:2px;display:inline-flex;align-items:center;justify-content:flex-start;gap:12px;padding-block:8px;cursor:pointer}.RadioButton_root__592m2.RadioButton_large__K_9NI{--size:24px}.RadioButton_root__592m2.RadioButton_rtl__rAcql{font-size:16px;justify-content:space-between}.RadioButton_root__592m2:hover:not(.RadioButton_labelOnLeft__nb96s){font-weight:600}.RadioButton_root__592m2 input[type=radio]{position:relative;width:var(--size);height:var(--size);-webkit-appearance:none;-moz-appearance:none;appearance:none;background-color:transparent;margin:0;border:var(--borderSize) solid var(--blue-gray-500);border-radius:100vmax}.RadioButton_root__592m2 input[type=radio]:before{content:"";position:absolute;top:50%;left:50%;display:block;width:calc(var(--size) / 2);height:calc(var(--size) / 2);background-color:var(--celadon-blue);border-radius:100vmax;translate:-50% -50%;transition:scale .2s;scale:0}.RadioButton_root__592m2.RadioButton_checked__dJL5R input[type=radio]{border-color:var(--celadon-blue)}.RadioButton_root__592m2.RadioButton_checked__dJL5R input[type=radio]:before{scale:1}.Banner_root__pOnAN{--background:var(--celadon-blue-dark);width:100vw;height:40px;display:flex;align-items:center;justify-content:center;background-color:var(--background);color:var(--white);font-size:var(--font-size-medium);font-weight:var(--font-weight-medium);opacity:0;visibility:hidden;transition:opacity .2s ease-in-out,visibility .2s ease-in-out;z-index:1}.Banner_visible__q1NMx{opacity:1;visibility:visible;transition-delay:0s}.Banner_aboveHeader__hC_i_{position:static;top:0}.Banner_belowHeader__Mcfew{position:absolute;top:var(--header-height)}.Banner_info__7SXSI{--background:var(--color-info)}.Banner_success__wveEs{--background:var(--color-success)}.Banner_error__lW5NB{--background:var(--color-error)}.Banner_warning__pEEt7{--background:var(--color-warning)}.Metadata_root__oCstk{display:grid;grid-template-columns:repeat(2,minmax(0,1fr));place-content:flex-start;padding-block-start:32px}.Metadata_root__oCstk .author{grid-column:1;grid-row:3;align-content:flex-start}.Metadata_root__oCstk .description,.Metadata_root__oCstk .pills-list,.Metadata_root__oCstk .stats,.Metadata_root__oCstk .title{grid-column:1/span 2}.Metadata_root__oCstk .title{margin-bottom:8px}.Metadata_root__oCstk .stats{margin-bottom:12px}.Metadata_root__oCstk .description{margin-top:12px}.Metadata_root__oCstk .pills-list{margin-block-start:20px;margin-block-end:18px}@media screen and (max-width:928px){.Metadata_root__oCstk{margin-block:8px 20px}.Metadata_root__oCstk .stats{margin-bottom:16px}.Metadata_root__oCstk .description{margin-top:0}.Metadata_root__oCstk .author{grid-column:2;grid-row:5;margin-block-start:12px;margin-block-end:6px;justify-content:end}.Metadata_root__oCstk.Metadata_authorInDescription__hW1wb:not(.Metadata_expanded__uLdy4) .author{display:none}.Metadata_root__oCstk.Metadata_authorInDescription__hW1wb.Metadata_expanded__uLdy4 .author{display:flex;grid-column:1/span 2;grid-row:5;justify-content:flex-start;margin-block-start:12px}.Metadata_root__oCstk .pills-list{margin-block-start:16px;margin-block-end:0}}.Metadata_root__oCstk .metadata-recs{grid-column:1/-1}.Title_root__svkHQ{font-size:clamp(26px,1vw + 1rem,28px);font-weight:600;line-height:1.25;min-width:0;word-break:break-word}.Heading_heading__3MAvZ,.Title_root__svkHQ{color:var(--blue-gray-900)}.Heading_h1__3k7S2{font-size:32px;font-weight:700}.Heading_h2__f9yvs{font-size:28px;font-weight:600}.Heading_h3__f1djd{font-size:24px}.Heading_h4__7tfLE{font-size:20px}.Heading_h5__jVM0l{font-size:16px;font-weight:400}.Heading_h6__uUTrd{font-size:14px;font-weight:400}.Stats_root__p_BoZ{display:flex;-moz-column-gap:6px;column-gap:6px;align-items:center;flex-wrap:wrap;color:var(--blue-gray-600);font-size:1rem}.Stats_bullet__DbT30:after{content:"•"}@media screen and (max-width:928px){.Stats_formatBullet__AHEoj{width:100%}.Stats_formatBullet__AHEoj:after{content:""}}.Likes_root__WVQ1_{cursor:pointer;transition:color .2s ease-in-out;border-radius:4px}.Likes_root__WVQ1_:hover{color:var(--blue-gray-700)}.LikeModal_more__R9uAk{justify-self:center;color:var(--celadon-blue);font-weight:500;opacity:0;visibility:hidden;transition:opacity .2s ease-in-out,visibility .2s ease-in-out}.LikeModal_more__R9uAk.LikeModal_visible__t1vr4{opacity:1;visibility:visible;transition-delay:0s}.LikesUserListSkeleton_root__pUbb3{display:flex;flex-direction:column;gap:12px}.LikesUserListSkeleton_root__pUbb3 .skeleton:first-child{grid-row:1/span 2}.LikesUserListSkeleton_item__mONV4{display:grid;grid-template-columns:max-content 1fr;grid-column-gap:12px;-moz-column-gap:12px;column-gap:12px;grid-row-gap:4px;row-gap:4px}.Modal_root__TYkzh[open]{transform:translateY(0)}.Modal_root__TYkzh{--max-height:calc(100dvb - var(--header-height));--title-size:80px;width:-moz-max-content;width:max-content;max-height:var(--max-height);place-self:center;border-radius:var(--border-radius);transform:translateY(8px)}@starting-style{.Modal_root__TYkzh[open]{transform:translateY(8px)}}.Modal_root__TYkzh.Modal_small__hupRE{width:400px}.Modal_root__TYkzh.Modal_medium__j8NOV{width:600px}.Modal_root__TYkzh.Modal_large__ygVmr{width:800px}.Modal_root__TYkzh.Modal_xlarge__HeXWk{width:960px}.Modal_wrapper__4UTGq{position:relative;display:grid}.Modal_wrapper__4UTGq .modal-content{flex:1 1;max-height:calc(var(--max-height) - var(--title-size));overflow:clip auto}@media screen and (max-width:520px){.Modal_root__TYkzh,.Modal_root__TYkzh.Modal_large__ygVmr,.Modal_root__TYkzh.Modal_medium__j8NOV,.Modal_root__TYkzh.Modal_small__hupRE,.Modal_root__TYkzh.Modal_xlarge__HeXWk{width:100vw}}@media screen and (max-width:928px){.Modal_root__TYkzh.Modal_bottomPlacement__BUbfp{width:100vw;top:unset;bottom:0;border-bottom-left-radius:0;border-bottom-right-radius:0}}.Modal_title__xhSfl{height:var(--title-size);line-height:var(--title-size);color:var(--blue-gray-900);font-size:20px;font-weight:600;border-bottom:1px solid var(--blue-gray-200);padding-inline-start:20px;padding-inline-end:60px}.Modal_title__xhSfl:first-letter{text-transform:capitalize}.Modal_content__R1F4d{padding-inline:20px;padding-block:24px}.Modal_root__TYkzh:has(.Modal_actions__t63hZ) .Modal_content__R1F4d{padding-block-end:96px}.Modal_actions__t63hZ{position:absolute;inset-inline:0;bottom:0;display:flex;align-items:center;justify-content:flex-end;gap:24px;background-color:var(--white);padding-inline:20px;padding-block:16px}.ViewModal_content__GPKXy{padding-block:0}.ViewModal_row__xLxnz{display:flex;justify-content:space-between;border-bottom:1px dashed var(--blue-gray-300);color:var(--blue-gray-800);padding:16px 0}.ViewModal_row__xLxnz:last-child{border-bottom:none}.Author_link___lVxw,.Author_root___6Bx5{display:flex;align-items:center;gap:8px}.Author_link___lVxw{color:var(--blue-gray-800);font-size:var(--font-size-large);font-weight:600;text-decoration:none;transition:color .15s}.Author_link___lVxw:hover{color:var(--celadon-blue-dark)}.Avatar_root__GNWHY{display:inline-flex;align-items:center;justify-content:center;flex-shrink:0;background-color:var(--white);color:var(--blue-gray-300);border-radius:50%;font-size:16px;font-weight:600;text-align:center;-webkit-user-select:none;-moz-user-select:none;user-select:none;overflow:hidden}.Avatar_initials__EJfVt{color:var(--white);transition:background-color .2s ease-in-out}.Avatar_initials__EJfVt,.Avatar_initials__EJfVt:hover{background-color:var(--blue-gray-600)}.Avatar_image__Bbtll{width:100%;height:100%;-o-object-fit:cover;object-fit:cover}.Description_root__kt4uq{--line-height:26px;position:relative}.Description_root__kt4uq.Description_clamped__PaV_1{padding-bottom:25px}.Description_root__kt4uq.Description_clamped__PaV_1 .Description_wrapper__hYE9_{mask-image:linear-gradient(to bottom,var(--white),transparent);-webkit-mask-image:linear-gradient(to bottom,var(--white),transparent)}.Description_wrapper__hYE9_{min-height:var(--line-height);display:-webkit-box;overflow:hidden;text-overflow:ellipsis;-webkit-box-orient:vertical;-webkit-line-clamp:2}.Description_noClamp__1z7c5,.Description_wrapper__hYE9_.Description_expanded__lRamt{-webkit-line-clamp:unset;-webkit-mask-image:none;mask-image:none}.Description_wrapper__hYE9_.Description_expanded__lRamt{height:auto}.Description_wrapper__hYE9_ p{color:var(--blue-gray-600);font-size:18px;line-height:var(--line-height);white-space:pre-wrap;word-break:break-word}.Description_root__kt4uq button{padding-inline:0}.Description_root__kt4uq .Description_more__ChrRK{position:absolute;padding:0;bottom:0;height:26px}.Description_root__kt4uq .Description_less__BvWbY{display:none}@media screen and (max-width:928px){.Description_root__kt4uq .Description_more__ChrRK{right:0;background-color:#fff}.Description_root__kt4uq.Description_clamped__PaV_1{padding-bottom:0}.Description_root__kt4uq .Description_less__BvWbY,.Description_root__kt4uq .Description_more__ChrRK{display:flex;height:var(--line-height)}}.Description_root__kt4uq .Description_less__BvWbY.Description_hidden__a9QZJ,.Description_root__kt4uq .Description_more__ChrRK.Description_hidden__a9QZJ{display:none}.PillsList_root__2EydN{display:flex;flex-wrap:wrap;align-items:center;gap:8px}.Pill_root__IqOYH{--bg:color-mix(in srgb,var(--celadon-blue-dark),90% transparent);--color:var(--blue-gray-800);height:40px;display:inline-flex;align-items:center;gap:6px;background-color:var(--bg);border-radius:100vmax;color:var(--color);font-size:16px;font-weight:600;padding-inline:16px;transition:color .2s ease-in-out,background-color .2s ease-in-out,filter .2s ease-in-out;cursor:pointer;-webkit-user-select:none;-moz-user-select:none;user-select:none;text-decoration:none;white-space:nowrap}.Pill_root__IqOYH:not(.Pill_selected__VPtHm):hover{filter:brightness(.6)}.Pill_root__IqOYH.Pill_selected__VPtHm{--bg:var(--blue-gray-900);--color:var(--white)}@media screen and (max-width:520px){.Pill_root__IqOYH{height:28px;padding-inline:12px;gap:4px;font-size:12px}.Pill_icon__xE_Cg{--size:18px!important}}.MetadataToolbar_root__c03ao{--shadow-opacity:0;position:sticky;display:grid;height:var(--metadata-toolbar-height);background-color:var(--white);z-index:var(--header-index)}.MetadataToolbar_root__c03ao.MetadataToolbar_withStickyHeader__EDA4C{top:var(--header-height)}.MetadataToolbar_wrapper__r7XEc{position:relative;display:grid;grid-template-columns:minmax(0,1fr) max-content 1fr;align-items:center;padding-inline-end:20px;padding-block:16px}.MetadataToolbar_underline__QQn0C{grid-column:1/-1;grid-row:2;height:1px;position:absolute;bottom:0;right:-20px;left:-30vw;background-color:var(--blue-gray-200);box-shadow:0 2px 4px 0 rgba(0 0 0/var(--shadow-opacity));animation:MetadataToolbar_reveal-shadow__5yBxP linear both;animation-timeline:scroll(block);animation-range:150px 450px}.MetadataToolbar_title__jfTWv{font-size:18px;font-weight:600}.MetadataToolbar_actions__FB33C{width:-moz-max-content;width:max-content;justify-self:flex-end;align-self:flex-start;display:flex;grid-column-end:-1}.MetadataToolbar_pageNumber__i6Bhj{display:inline-flex;align-items:center;margin-inline:1em;height:44px}@media screen and (max-width:928px){.MetadataToolbar_root__c03ao{display:none}}@keyframes MetadataToolbar_reveal-shadow__5yBxP{to{--shadow-opacity:0.122}}.Actions_root__00yIC{display:flex;gap:6px;align-items:baseline;min-height:44px}@media screen and (max-width:928px){.Actions_root__00yIC{min-height:35px}.Actions_root__00yIC .gallery-view-button{padding-inline:0;margin-inline-end:auto}}@media (min-width:929px){.Actions_root__00yIC button.fullscreen-button,.Actions_root__00yIC button.like-button,.Actions_root__00yIC button.more-button,.Actions_root__00yIC button.save-slideshow-button,.Actions_root__00yIC button.study-guide-button{--foreground:var(--blue-gray-700);border:1px solid var(--blue-gray-200)}}button.GalleryViewButton_root__s4Nw4{--size:32px;color:var(--blue-gray-700)}button.GalleryViewButton_root__s4Nw4:hover{background-color:transparent}@media only screen and (min-width:929px){button.GalleryViewButton_root__s4Nw4{display:none}}.Tooltip_root__7FS0Y{background:var(--midnight-green-dark);border-radius:4px;box-shadow:.5px 5px rgba(0,0,0,.04),0 4px 11px rgba(0,0,0,.2);color:var(--white);font-size:12px;line-height:15px;padding-inline:8px;padding-block:6px;margin:1ch}.SaveToListButton_root__T0ltL{margin:0}div.SaveToNewListModal_input__Fi90k{max-width:unset;margin-bottom:24px}.SaveToNewListModal_checkboxWrapper__y_w0m .SaveToNewListModal_checkboxLabel__lMiU9:hover,.SaveToNewListModal_checkboxWrapper__y_w0m:hover .SaveToNewListModal_checkboxLabel__lMiU9,.SaveToNewListModal_checkboxWrapper__y_w0m:hover .SaveToNewListModal_input__Fi90k{color:var(--blue-gray-800)}.SaveToNewListModal_checkboxWrapper__y_w0m .SaveToNewListModal_checkboxLabel__lMiU9{color:var(--blue-gray-800);font-weight:400;font-size:14px;flex-direction:column;display:flex;line-height:18px}.SaveToNewListModal_imageContainer__Dx4nD{display:flex;flex-direction:column;justify-content:center;align-items:center;width:178px;margin:0 auto 24px}.SaveToNewListModal_imageContainer__Dx4nD img{height:100px}.SaveToNewListModal_errorContainer__FBZPH{margin:16px 0}.ConfirmRemoveSavedModal_description__2EAEu{color:var(--blue-gray-800);font-weight:400;font-size:16px;line-height:20px;padding:24px 0}.MoreDropdownButton_root__ciXL6{width:100%;padding:0 16px}.MoreDropdownButton_list__VI5Ah{list-style-type:none;padding:0;margin:0}.MoreDropdownButton_item__t4HmI{margin:8px 0}.MoreDropdownButton_actionButton__gJ41E{color:var(--black);display:flex;align-items:center;font-size:16px;height:48px;gap:12px}.MoreDropdownButton_actionButton__gJ41E:focus{outline:none}.MoreDropdownButton_drawerHeading__chh4X{margin:24px 0;display:flex;align-items:center}.MoreDropdownButton_icon__DxfY4{color:var(--blue-gray-800)}.MoreDropdownButton_tooltip__az4od{white-space:nowrap}.MoreDropdownButton_trigger__x7wGs{width:44px;height:44px;color:var(--foreground);border-radius:4px}@media (max-width:928px){.MoreDropdownButton_trigger__x7wGs{width:32px;height:32px}}.ReportForm_root__REyLj{display:grid;grid-gap:12px;gap:12px;font-size:1rem;color:var(--blue-gray-800)}.ReportForm_root__REyLj label,.ReportForm_row__dXO5W{display:flex;align-items:center;gap:12px}.ReportForm_root__REyLj label{gap:8px}.ReportForm_root__REyLj label:has(input[type=radio]:checked){font-weight:600;color:var(--blue-gray-900)}.ReportForm_root__REyLj input[type=radio]{accent-color:var(--celadon-blue)}.ReportForm_root__REyLj select{width:100%;display:block;height:40px;-webkit-appearance:none;-moz-appearance:none;appearance:none;background:url('data:image/svg+xml;utf8,<svg fill="lightgray" xmlns="http://www.w3.org/2000/svg" viewBox="0 0 24 24" width="24px" height="24px"><path d="M7 10l5 5 5-5z"/></svg>') no-repeat right 8px center;border:1px solid var(--blue-gray-300);border-radius:4px;color:var(--blue-gray-600);font-size:1rem;padding-block:4px;padding-inline:16px;margin-block-start:8px}.ReportForm_root__REyLj select.changed{background-image:url('data:image/svg+xml;utf8,<svg fill="lightgray" xmlns="http://www.w3.org/2000/svg" viewBox="0 0 24 24" width="24px" height="24px"><path d="M7 10l5 5 5-5z"/></svg>');color:var(--blue-gray-900)}.ReportForm_root__REyLj a{color:var(--celadon-blue);font-weight:600;text-decoration:none}.ReportForm_root__REyLj p{color:var(--blue-gray-700)}.ReportForm_actions__LPSXn{display:flex;gap:12px;justify-content:flex-end;margin-block-start:8px}.ReportForm_copyrightPolicy__z8QMf{display:unset}.ReportForm_info__2Xs_1{max-width:200px;padding-inline:16px;padding-block:20px}.ReportForm_error__bC3zN{display:flex;align-items:center;gap:8px;border-radius:8px;background-color:#f2dede;color:var(--blue-gray-800);padding-inline:16px;padding-block:12px;margin-block-end:16px}.DownloadButton_root__adY00{margin-left:auto;display:inline-grid;gap:6px;justify-items:center;flex-shrink:0;text-align:center}.DownloadButton_savedStyling__k18od{font-weight:600;font-size:18px}.Player_root__L1AmF{position:relative;min-width:0;background-color:var(--snow-gray);padding:20px}.Player_root__L1AmF.Player_isInfographic__iQpvH{background-color:unset;padding-inline-start:1px;padding-inline-end:20px}@media (max-width:928px){.Player_root__L1AmF,.Player_root__L1AmF.Player_isInfographic__iQpvH{background-color:unset;padding-inline:2px}}.VerticalPlayer_root__K8_YS{position:relative;display:grid;grid-template-columns:minmax(0,1fr);grid-gap:24px;gap:24px}@media screen and (max-width:928px){.VerticalPlayer_root__K8_YS{gap:8px}}.VerticalSlide_root__jU_9r{position:relative}.VerticalSlide_root__jU_9r .vertical-slide-image{position:absolute;inset:0}.VerticalSlide_root__jU_9r .save-slide-button{background-color:var(--blue-gray-100)}@media only screen and (max-width:520px){.VerticalSlide_root__jU_9r .save-slide-button,.VerticalSlide_root__jU_9r .slide-download-button{--size:32px}}.VerticalSlideImage_root__64KSA{position:relative;width:100%;height:100%;box-shadow:0 0 0 1px var(--blue-gray-200);border-radius:8px;overflow:clip}.VerticalSlideImage_image__VtE4p{-o-object-fit:contain;object-fit:contain;opacity:1;transition:opacity .3s var(--ease);@starting-style{opacity:0}}.VerticalSlideActions_root__azvaH{display:flex;flex-direction:column;gap:12px;position:absolute;top:12px;right:12px;bottom:12px;opacity:1;transition:opacity .3s ease-in .1s;z-index:1}@starting-style{.VerticalSlideActions_root__azvaH{opacity:0}}button.VerticalSlideActions_downloadButton__ancDu{border-radius:4px;color:var(--blue-gray-700);background-color:var(--blue-gray-100)}button.VerticalSlideActions_fullscreen__5CkK_{background-color:var(--blue-gray-100);color:var(--blue-gray-700);margin-block-start:auto}@media (max-width:928px){.VerticalSlideActions_root__azvaH>div:first-child{display:none}}.FreestarVideoAd_root__KDWgl{min-width:0;flex-shrink:0;aspect-ratio:16/9}.VerticalInterstitialAdWrapper_root__LxQh8{container-type:inline-size;position:relative;display:grid;min-height:280px;overflow-x:clip}.VerticalInterstitialAdWrapper_root__LxQh8:has(.interstitial-ad-container.has-fetched):not(:has(.interstitial-ad-container.has-fetched .freestar-ad-container:not(.unfilled))){display:none}.VerticalInterstitialAdWrapper_root__LxQh8:has(div.interstitial-ad-container.has-fetched div.freestar-ad-container.filled div),.VerticalInterstitialAdWrapper_root__LxQh8:has(div.interstitial-ad-container.has-fetched div.freestar-ad-container.unfilled iframe){display:grid!important}.VerticalInterstitial_root__Dunl7{display:none}@container (max-width: 480px){.VerticalInterstitial_root__Dunl7{display:block;justify-self:center;align-self:center}}.FreestarAdContainer_root__qPPC_{position:relative;display:grid;place-content:center}.FreestarAdContainer_root__qPPC_.FreestarAdContainer_withFallback__A4lgm{aspect-ratio:var(--fallback-aspect-ratio)}.FreestarAdContainer_fallback__WreT9{inset:0;grid-template-columns:unset;place-content:center}.AdFallback_root__uAXsl{display:grid;justify-items:center;grid-template-columns:1fr;background-color:hsla(0,0%,97%,.9);z-index:0}.VerticalInterstitialDesktop_root__s5iTm{display:none;place-content:center}@container (min-width: 481px){.VerticalInterstitialDesktop_root__s5iTm{display:grid}}.BelowReaderAd_root__NKeGg{margin-top:16px;margin-bottom:60px}.BelowReaderAd_root__NKeGg.BelowReaderAd_desktop__7_JN7{display:block;justify-items:flex-start}.BelowReaderAd_root__NKeGg.BelowReaderAd_mobile__08T3d{display:none}.BelowReaderAd_root__NKeGg .fallback-ad{justify-self:flex-start}@media screen and (max-width:928px){.BelowReaderAd_root__NKeGg.BelowReaderAd_desktop__7_JN7{display:none}.BelowReaderAd_root__NKeGg.BelowReaderAd_mobile__08T3d{display:block;justify-items:center}}.Sidebar_root__1BbNu{width:var(--sidebar-size);max-height:100dvh;overflow:clip scroll;position:sticky;top:var(--metadata-toolbar-offset,0);display:flex;flex-direction:column;padding-inline-start:28px;padding-block-start:32px;padding-block-end:430px}.Sidebar_root__1BbNu.Sidebar_withStickyHeader__u8U46{top:var(--header-height)}@media (max-width:1050px){.Sidebar_root__1BbNu{display:none}}.AboveRecsAd_root__iTmTR{min-height:280px;margin-block-end:32px}.AboveRecsAd_root__iTmTR .freestar-ad-container{place-content:flex-start}@media (max-width:1050px){.AboveRecsAd_root__iTmTR .freestar-ad-container{place-content:center}}.AboveRecsAd_mobileAd__LYgqf{display:none}.AboveRecsAd_desktopAd__ymykj{display:block}@media (max-width:1050px){.AboveRecsAd_mobileAd__LYgqf{display:block}.AboveRecsAd_desktopAd__ymykj{display:none}}.RailRecommendations_root__zqtZQ{display:flex;flex-direction:column;gap:8px;padding-block-end:24px}.RailRecommendations_title__kt1D2{font-size:24px;color:var(--blue-gray-900);font-weight:600;margin-block-end:20px}.RailCard_root__rZUGY{--card-hover-background:var(--blue-gray-100);width:100%;position:relative;padding-block:12px}.RailCard_root__rZUGY.slideshow-card{grid-template-columns:160px minmax(0,1fr);grid-template-rows:auto;gap:12px}.RailCard_root__rZUGY .slideshow-card-content{padding:0}.RailCard_link__d3BBm{z-index:1}.RailCard_root__rZUGY .RailCard_title__Tvfiv{font-size:16px}.RailCard_stats__ZvZms .text{font-size:12px}.RailCard_root__rZUGY .RailCard_author__JYeYZ{color:var(--blue-gray-700);text-decoration:none}@media screen and (min-width:929px){.RailCard_root__rZUGY.RailCard_hasDescription__MjSgf:hover{background-color:var(--blue-gray-100)}}.SlideshowCard_root__pD8t4{position:relative;display:grid;grid-template-rows:max-content minmax(0,1fr);grid-template-columns:minmax(0,1fr);align-content:flex-start;color:var(--blue-gray-600)}.SlideshowCard_root__pD8t4:hover .SlideshowCard_thumb__86aJk{scale:1.02}.SlideshowCard_content__xh7kV{display:grid;padding:16px 0;grid-template-columns:1fr 32px;grid-template-rows:auto;grid-row-gap:4px;row-gap:4px}.SlideshowCard_content__xh7kV .slideshow-right-side{grid-column-start:2;grid-row-start:1;grid-row-end:span 3}.SlideshowCard_content__xh7kV .slideshow-author,.SlideshowCard_content__xh7kV .slideshow-stats,.SlideshowCard_content__xh7kV .slideshow-title,.SlideshowCard_content__xh7kV .slideshow-type{grid-column-start:1}.SlideshowCard_content__xh7kV .slideshow-author,.SlideshowCard_content__xh7kV .slideshow-stats{margin-block-start:auto}.SlideshowCardLink_root__p8KI7{position:absolute;inset:0;z-index:1;margin:4px}.Thumbnail_root__qLW0K{--ease:cubic-bezier(0.2,0,0,1);position:relative;background-color:var(--blue-gray-100);border:1px solid var(--blue-gray-100);border-radius:8px;scale:1;transition:scale .2s var(--ease);overflow:clip}.Thumbnail_thumb__UXO3a{position:absolute;inset:0;width:100%;height:100%;opacity:1;transition:opacity .3s var(--ease);transition-delay:calc(30ms * var(--index));@starting-style{opacity:0}}.Thumbnail_blur__opK6A{filter:blur(8px)}.Thumbnail_cover__1zsIi{-o-object-fit:cover;object-fit:cover}.Thumbnail_contain__K6M0d{-o-object-fit:contain;object-fit:contain}.SlideshowTitle_root__2VccW{display:-webkit-box;color:var(--blue-gray-900);font-size:18px;font-weight:600;line-height:1.2;margin-bottom:8px;white-space:break-spaces;word-break:break-word;-webkit-box-orient:vertical}.SaveButton_root__b6zuu{z-index:1}.SlideshowAuthor_root__IkT1_{color:var(--celadon-blue-dark);font-weight:600;text-decoration:underline;-webkit-text-decoration-color:transparent;text-decoration-color:transparent;text-decoration-thickness:1.5px;text-underline-offset:2px;transition:-webkit-text-decoration-color .2s ease-out;transition:text-decoration-color .2s ease-out;transition:text-decoration-color .2s ease-out,-webkit-text-decoration-color .2s ease-out;z-index:2}.SlideshowAuthor_root__IkT1_:hover{-webkit-text-decoration-color:var(--celadon-blue);text-decoration-color:var(--celadon-blue)}.SlideshowStats_root__EQOR1{display:flex;align-items:center;gap:6px}.SlideshowStats_text___WD7l{color:var(--blue-gray-600)}.CountTag_root__y1hE1,.SplitDot_root__lTZDc{color:var(--blue-gray-600);font-weight:400}.RelatedContent_root__29Np1{background-color:var(--blue-gray-100);border-top:1px solid var(--blue-gray-200);border-bottom:1px solid var(--blue-gray-200);padding-block:32px;position:relative}.RelatedContent_wrapper__riU7l{display:grid;grid-template-columns:minmax(0,1fr);grid-gap:32px;gap:32px;max-width:var(--max-content-width);margin-inline:auto}.RelatedContent_title__QUhpL{text-align:center;font-size:32px;font-weight:700}@media screen and (max-width:520px){.RelatedContent_wrapper__riU7l{padding-inline:16px}}.BottomRecommendation_root__7aU9w{display:grid;grid-gap:4px;gap:4px;padding-inline:24px}.BottomRecommendation_title__SRj68{font-size:22px;font-weight:600}.BottomRecommendation_count__4HpLo{font-size:16px;font-weight:400}@media screen and (max-width:520px){.BottomRecommendation_root__7aU9w{padding-inline:0}}.BottomRecommendationContent_root__2qm4_{display:grid}.BottomRecommendationContent_hidden__CUlxl{display:none}.BottomRecommendationContent_root__2qm4_ .mobile-recs{display:grid}.BottomRecommendationContent_root__2qm4_ .desktop-recs{display:none}@media screen and (min-width:520px){.BottomRecommendationContent_root__2qm4_ .mobile-recs{display:none}.BottomRecommendationContent_root__2qm4_ .desktop-recs{display:flex}}.Slider_root__c0Jo8{position:relative;display:grid}.Slider_scroller__KHjw4{display:flex;gap:20px;overflow:auto;scroll-snap-type:x mandatory;overscroll-behavior-x:contain;max-inline-size:100%;min-block-size:100%;touch-action:pan-x;-ms-overflow-style:none;scrollbar-width:none}.Slider_scroller__KHjw4::-webkit-scrollbar{display:none}.Slider_scroller__KHjw4>*{flex-grow:1;flex-shrink:0;scroll-snap-align:start}.Slider_scroller__KHjw4>:last-child{scroll-snap-align:end}.Slider_arrow__8LCca{display:grid;place-content:center;width:36px;height:36px;position:absolute;top:50%;background:var(--white);border:1px solid var(--blue-gray-200);border-radius:100vmax;box-shadow:0 2px 4px 0 rgba(0,0,0,.25);color:var(--blue-gray-800);padding:0;opacity:1;visibility:visible;pointer-events:all;transition:opacity .2s ease-in-out,visibility .2s ease-in-out;transition-delay:0s;cursor:pointer;z-index:1}.Slider_prev__YMssa{left:0;translate:-50% -50%}.Slider_next__fa9IO{right:0;translate:50% -50%}.Slider_hidden__rs7nK{opacity:0;visibility:hidden;pointer-events:none}.BottomRecommendationCard_root__gffTk{inline-size:clamp(220px,12.63rem + 3.45vw,260px);position:relative;background-color:none}.BottomRecommendationCard_root__gffTk .slideshow-thumbnail{border:1px solid var(--blue-gray-200)}.ScribdRecommendation_root__t3ezS{display:grid;grid-gap:16px;gap:16px;padding:0 24px}.ScribdRecommendation_header__Jw_M1{display:grid;grid-template-columns:1fr max-content}.ScribdRecommendation_title__JZ5p7{font-size:22px;font-weight:600}.ScribdRecommendation_link__4DVQz{align-self:end;grid-column:2;grid-row:1/span 2;color:var(--celadon-blue-dark)}.ScribdRecommendation_link__4DVQz:hover{color:var(--celadon-blue)}.ScribdRecommendationCard_root__ef2Y_{--rec-bg-1:#f1e3e3;--rec-bg-2:#f6f4e3;--rec-bg-3:#e1eaec;--rec-bg-4:#efebef;--rec-bg-5:#f1f0f0;--rec-bg-6:#f4eadb;--rec-bg-7:#eaeee7;--rec-bg-8:#e3e8ef;--rec-bg-9:#f1eee6;max-width:172px;position:relative;height:100%;display:grid;grid-template-columns:minmax(0,1fr);grid-template-rows:max-content minmax(0,1fr);align-content:flex-start;background-color:var(--white);border:1px solid var(--blue-gray-200);box-shadow:none;transition:box-shadow .2s ease-in-out;text-decoration:none}.ScribdRecommendationCard_root__ef2Y_:hover{box-shadow:0 2px 10px rgba(0,0,0,.1)}.ScribdRecommendationCard_thumb__5VVNh{position:relative;display:flex;background-color:var(--blue-gray-200);margin-block-start:24px;margin-inline:20px}.ScribdRecommendationCard_root__ef2Y_:before{position:absolute;inset:0;aspect-ratio:19/16;content:"";background-color:var(--block-color)}.ScribdRecommendationCard_thumb__5VVNh img{--reveal-delay:calc(30ms * var(--card-index));aspect-ratio:inherit;box-shadow:0 4px 6px rgba(0,0,0,.2);-o-object-fit:cover;object-fit:cover;opacity:0;visibility:hidden;transition:opacity .3s var(--ease);transition-delay:var(--reveal-delay)}.ScribdRecommendationCard_loaded__FTN_f img{opacity:1;visibility:visible}.ScribdRecommendationCard_content__ObcvL{display:flex;flex-direction:column;padding-block:20px;padding-inline:16px}.ScribdRecommendationCard_content__ObcvL .rating{padding-block-start:8px;margin-block-start:auto}@media (max-width:928px){.ScribdRecommendationCard_content__ObcvL .rating{flex-direction:column;align-items:flex-start}}.Rating_root__fgZQJ{display:flex;align-items:center;-moz-column-gap:6px;column-gap:6px;white-space:nowrap}.Rating_root__fgZQJ :first-child{color:#e47b01;font-size:16px;line-height:1}.Rating_root__fgZQJ :last-child{color:var(--blue-gray-600);font-size:14px;letter-spacing:-.25px}.Transcript_root__Vrf6Q{width:100%;max-width:var(--max-content-width);display:grid;grid-gap:8px;gap:8px;padding:32px 16px;margin-inline:auto;position:relative;background:#fff}.Transcript_title__YgAka{display:flex;align-items:center;gap:4px;font-weight:300;word-break:break-word}.Transcript_list__faItj{list-style-type:none;padding-inline-start:0;word-break:break-word}.Transcript_link__MLbGS{color:var(--celadon-blue);font-weight:700;line-height:22px;text-decoration:none;cursor:pointer}.EditorsNotes_root__3PcDF{padding:32px 16px;margin:0 auto}.EditorsNotes_heading__XR9E6{font-weight:700;font-size:22px}.EditorsNotes_list__NcG5Y{padding-left:30px;font-size:18px;font-style:italic;color:var(--blue-gray-600)}.EditorsNotes_item__ebBbj{word-break:break-word}.EditorsNotes_link__HRUAh{color:var(--celadon-blue);font-weight:700;line-height:22px;text-decoration:none;cursor:pointer}@media screen and (min-width:1696px){.EditorsNotes_root__3PcDF{max-width:1688px}}dialog.FullscreenModal_root__efM9m[open]{animation:none;opacity:1;scale:1}dialog.FullscreenModal_root__efM9m{--max-height:100dvh;width:100dvw;height:100dvh;border-radius:0;opacity:0;scale:.96}dialog.FullscreenModal_root__efM9m .FullscreenModal_content__bQ6mt{width:100svw;height:100svh;display:grid;padding:0;max-height:100svh}@starting-style{dialog.FullscreenModal_root__efM9m[open]{opacity:0;scale:.96}} /*# sourceMappingURL=4cc9ce2486d20250.css.map*/</style></head><body><noscript><iframe title="gtm-noscript" src="https://www.googletagmanager.com/ns.html?id=GTM-M36RG8PT" height="0" width="0" style="display:none;visibility:hidden"></iframe></noscript><div id="__next"><div class="__variable_11ceb6 __className_11ceb6" style="overflow:clip"><div class="PageProgressBar_root__1y_Q3"><div class="PageProgressBar_bar__Kyoem" style="transform:scaleX(0)"></div></div><div data-freestar-ad="__320x50 __1140x90" id="slideshare_pushdown-pushdown-cls" style="height:var(--pushdown-height)"></div><header class="Header_root__8A86O"><div class="Header_wrapper___zBfP"><button aria-label="Open navigation menu" class="Hamburger_root__tHlgC" data-cy="mobile-header-menu" type="button"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/hamburger.5a525941.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><dialog id=":R15d6:" class="drawer Drawer_root__ob4ZM" data-placement="left"><div class="Drawer_content__ye6ZB"></div><button autofocus="" type="button" aria-label="Close" class="close-button CloseButton_root__JCTRm" data-cy="drawer-close-button"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/close.393923c4.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button></dialog><a aria-label="SlideShare a Scribd company logo" class="Logo_root__Qba3h" data-cy="slideshare-logo" title="Return to the homepage" href="https://www.slideshare.net/"><img alt="SlideShare a Scribd company logo" loading="lazy" width="120" height="30" decoding="async" data-nimg="1" style="color:transparent" srcSet="https://public.slidesharecdn.com/images/next/svg/logo/slideshare-scribd-company.svg?w=128&amp;q=75 1x, https://public.slidesharecdn.com/images/next/svg/logo/slideshare-scribd-company.svg?w=256&amp;q=75 2x" src="https://public.slidesharecdn.com/images/next/svg/logo/slideshare-scribd-company.svg?w=256&amp;q=75"/></a></div><div class="SearchForm_root__usp4s"><form data-testid="search-form" role="search"><input name="searchfrom" type="hidden" value="header"/><input type="text" autoComplete="off" aria-label="Search SlideShare" id="nav-search-query" data-cy="search-field" name="q" placeholder="Search" aria-haspopup="dialog" aria-controls=":R16d6:" popovertarget=":R16d6:" style="anchor-name:--popover-R16d6" value=""/><div class="SearchForm_popover__K3_9y SearchForm_hidden__rZp69" id=":R16d6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R16d6"></div><button type="submit" class="SearchForm_submit__U8kPR" id="search-submit" data-cy="search-submit"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/search.844a289d.svg);background-color:currentColor"></span><span class="sr-only">Submit Search</span></span></button></form></div><div class="HeaderActions_root__11_ai undefined"></div></header><div class="SlideshowScreen_root__k9j1_ SlideshowScreen_withKeyMoments__RbHLe"><!--$--><div class="metadata Metadata_root__oCstk" data-cy="metadata"><h1 class="Heading_heading__3MAvZ Heading_h1__3k7S2 title Title_root__svkHQ">Nmi Presentation Sept 2007</h1><div class="stats Stats_root__p_BoZ"><time dateTime="2010-10-14 09:27:04 UTC">Oct 14, 2010</time><span class="Stats_bullet__DbT30"></span><span class="Text_root__is73U Text_weight-strong__yEO2S Text_secondary__EPWj0 Text_medium__rk8Tn Likes_root__WVQ1_ text" style="-webkit-line-clamp:0" tabindex="0">0 likes</span><span class="Stats_bullet__DbT30"></span><span class="Text_root__is73U Text_weight-strong__yEO2S Text_secondary__EPWj0 Text_medium__rk8Tn Likes_root__WVQ1_ text" style="-webkit-line-clamp:0" tabindex="0">972<!-- --> <!-- -->views</span></div><div class="author Author_root___6Bx5"><a data-cy="author-link" class="Author_link___lVxw ellipsis" title="AdrianOShaughnessy" href="https://www.slideshare.net/AdrianOShaughnessy"><div class="Avatar_root__GNWHY Avatar_initials__EJfVt" style="width:24px;height:24px;line-height:24px">A</div><span>AdrianOShaughnessy</span></a></div><div class="description Description_root__kt4uq Description_clamped__PaV_1"><div class="Description_wrapper__hYE9_" data-cy="document-description"><p>The document discusses layout challenges at the 90nm technology node. It covers analog layout challenges including shallow trench isolation (STI) stress and well proximity effects that can degrade transistor performance. For RF layout, it discusses the importance of minimizing interconnect and device parasitics. Interconnect parasitics like resistance and capacitance can be reduced by shorter lengths, wider widths, and using higher metal layers. Device parasitics are also discussed and how optimizing the drain area of differential pairs by folding can help minimize parasitic capacitance effects.<button type="button" class="Button_root__i1yp0 Button_primary__K25Gq Button_text__ZT_3O Button_small__sqsEx Description_less__BvWbY Description_hidden__a9QZJ" data-testid="button" aria-label="Read less"><span>Read less</span></button></p></div><button type="button" class="Button_root__i1yp0 Button_primary__K25Gq Button_text__ZT_3O Button_small__sqsEx Description_more__ChrRK" data-testid="button" aria-label="Read more" data-cy="read-more-button"><span>Read more</span></button></div></div><div class="MetadataToolbar_root__c03ao metadata-toolbar" data-cy="slideshow-toolbar"><div class="MetadataToolbar_wrapper__r7XEc"><div class="actions Actions_root__00yIC"><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_regular__i28NX Button_icon__1C4qi like-button unliked" data-testid="button" aria-label="Like" data-favorited="false" data-cy="like-button" aria-haspopup="dialog" aria-controls=":R2654f6:" popovertarget=":R2654f6:" style="anchor-name:--popover-R2654f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/like.3870b074.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R2654f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R2654f6"></div><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_regular__i28NX Button_icon__1C4qi save-slideshow-button SaveToListButton_root__T0ltL" data-testid="button" aria-label="Save for later" data-cy="save-slideshow-logged-out-button" aria-haspopup="dialog" aria-controls=":R3654f6:" popovertarget=":R3654f6:" style="anchor-name:--popover-R3654f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R3654f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R3654f6"></div><button type="button" class="unstyled-button more-button MoreDropdownButton_trigger__x7wGs" aria-label="More options" data-cy="more-options-icon" data-testid="ellipsis" aria-haspopup="dialog" aria-controls=":Rc654f6:" popovertarget=":Rc654f6:" style="anchor-name:--popover-Rc654f6"><span class="icon Icon_root__AjZyv" style="anchor-name:--popover-R3c654f6" aria-haspopup="dialog" aria-controls=":R3c654f6:" popovertarget=":R3c654f6:"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/more-horizontal.f69be1b8.svg);background-color:currentColor"></span><span class="sr-only"></span></span><div class="Tooltip_root__7FS0Y" id=":R3c654f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R3c654f6"></div></button><div class="" id=":Rc654f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rc654f6"></div></div><span data-cy="page-number" class="MetadataToolbar_pageNumber__i6Bhj">1<!-- --> <!-- -->of<!-- --> <!-- -->5</span><div class="MetadataToolbar_actions__FB33C"><div class="DownloadButton_root__adY00"><button type="button" class="Button_root__i1yp0 Button_primary__K25Gq Button_contained__gyjai Button_large__Yv_oe" data-testid="download-button" data-cy="download-button-toolbar"><span><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/download.b1b2622c.svg);background-color:currentColor"></span><span class="sr-only"></span></span>Download now</span></button><span class="Text_root__is73U Text_secondary__EPWj0 Text_xsmall__vYp9p text" style="-webkit-line-clamp:0">Downloaded 24 times</span></div></div><div class="MetadataToolbar_underline__QQn0C"></div></div></div><!--/$--><!--$--><!--/$--><div class="player Player_root__L1AmF"><div id="new-player" class="vertical-player VerticalPlayer_root__K8_YS" data-slideshow-id="5443659"><div><div id="slide1" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:595 / 842" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-0" alt="NMI Presentation Notes 12th September 2007 IC Mask Design Limited Unit 12G Maynooth Business Campus Maynooth, Co. Kildare, Ireland. Topics: 1. Analog Layout at 90 nanometer 2. RF Layout at 90 nanometer Analog Layout at 90 nanometer There are various challages in Analog layout at 90 nanometer.The topics for discussion are:  Shallow Trench Isolation Stress (STI)  Well Proximity Effect (WPE) RF Layout at 90 nanometer As frequencies increase, with some CMOS circuits operating in the RF range, layout quality has a larger influence on circuit perfomance. The topics for discussion are:  Interconnect  Interconnect Parasitics  Device Parasitics  Understanding and optimising device parasitics ICMaskDesign Adrian O&#x27;Shaughnessy " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="eager" srcSet="https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/85/Nmi-Presentation-Sept-2007-1-320.jpg 320w, https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/85/Nmi-Presentation-Sept-2007-1-638.jpg 638w, https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/75/Nmi-Presentation-Sept-2007-1-2048.jpg 2048w" src="https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/85/Nmi-Presentation-Sept-2007-1-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide2" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:595 / 842" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-1" alt="STI So what exactly is it? STI is a technique to electrically isolate mos transistors from each other. LOCUS (local oxidation of silicon) was the technique used previous to this. Basically this involved growing a thick pad of oxide between adjacent devices. The problem with it really was scalability. As geometries were getting smaller it wasn&#x27;t really an option, so Shallow Trench Isolation was introduced. This involved etching out a shallow trench into the substrate between devices and then filling with an oxide. So why is it so important that we understand it? Basically if STI isn&#x27;t taking into account it can lead to circuit failure. So the designer has to be aware of it and most importantly the layout engineer has to understand and know how to deal with it. So what problems does it introduce? The STI process stresses the mos transistors which can lead to a degradation or variation in performance. So this can affect things like matching. If your current mirror is experiencing a variation across it&#x27;s transistors then they won&#x27;t be matched and offsets could be introduced. Worse still will be that the current output will be much less than expected so things like biasing currents could be affected. So how does it stress the mos transistors? First of all when the trench is etched into the substrate this will cause some mechanical stress. In other words the silicon itself will experience stress and the degree of stress that the transistor experiences is related to the distance of the gate to the closest STI region, that is the distance of the channel to the closest oxide. So how can we as layout engineers fix it? 1. Well we could match it. If for example in a current mirror we ensure that each transistor experiences the same STI stress then they are matched. The problem with this though is the designer has to be aware that this is the technique that we are using because this technique could result in a degradation in current output and so the designer has to allow for this in their simulations. 2. Another technique is to share regions. Here we have utilised area and we have eliminated the STI stress. The important thing to note is we that must extend the poly to active distance on the outer mos transistors. We can do this by simply drawing in the extra diffusion area or by adding in dummy devices ICMaskDesign Adrian O&#x27;Shaughnessy " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/85/Nmi-Presentation-Sept-2007-2-320.jpg 320w, https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/85/Nmi-Presentation-Sept-2007-2-638.jpg 638w, https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/75/Nmi-Presentation-Sept-2007-2-2048.jpg 2048w" src="https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/85/Nmi-Presentation-Sept-2007-2-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide3" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:595 / 842" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-2" alt="WPE The Well Proximity Effect is another effect that if not understood has the potential to cause circuit failure. So what is it? Basically this involves the scattering of ions (ion scattering) during the implantation of the wells. So what does this cause? The result is a well surface concentration that changes with lateral distance from the mask edge over the range of 1 micron or more. This later non-uniformity in well doping causes the MOS threshold voltages and other electrical characteristics to vary with the distance of the transistor to the well edge. In some instances Vt has been found to increase by as much as 50mV as the device moves closer to the edge. If this isn&#x27;t taken into account such things as current mirrors can be shifted out of saturation leading to circuit failure. So how is it fixed? The layout engineer must insure that the mos devices either experience the same WPE effect or must ensure that there is enough of a distance from the well edge so as to negate the effects of WPE. Characterisation tests reveal that 2-5 microns should be sufficient. ICMaskDesign Adrian O&#x27;Shaughnessy " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/85/Nmi-Presentation-Sept-2007-3-320.jpg 320w, https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/85/Nmi-Presentation-Sept-2007-3-638.jpg 638w, https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/75/Nmi-Presentation-Sept-2007-3-2048.jpg 2048w" src="https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/85/Nmi-Presentation-Sept-2007-3-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide4" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:595 / 842" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-3" alt="RF Layout at 90 Nanometres INTRO Good layout practice at high frequencies is extremely important because bad layout can and will introduce parasitics that may result in extra current needed to drive these parasitic loads. It could also of course stop your circuit from working at all as it may limit it&#x27;s frequency response. Interconnect Lets look at the parasitics that&#x27;s introduced by interconnect. Every single piece of interconnect we put down will have an associated parasitic resistance. This resistance is calculated by multiplying it&#x27;s sheet resistance by it&#x27;s length over width – Rs * (L/W) Interconnect will also introduce different types of parasitic capacitance such as parallel plate, Fringe and cross coupling which will all have a combinational affect and create one overall parasitic capacitance. So have can we improve on the parasitic resistance? 1. Reduce Length. If we properly floorplan our blocks it should be possible to minimise the interconnect between them. 2. Increase Width. Increasing the width will reduce the number of squares. It is common for differential signals to be wider than the minimum width. 3. Use Higher Layers. Higher metals have a lower sheet resistance than lower ones therefore reducing its overall resistance. This will also have the added advantage of decreasing the capacitance to substrate. ICMaskDesign Adrian O&#x27;Shaughnessy " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/85/Nmi-Presentation-Sept-2007-4-320.jpg 320w, https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/85/Nmi-Presentation-Sept-2007-4-638.jpg 638w, https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/75/Nmi-Presentation-Sept-2007-4-2048.jpg 2048w" src="https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/85/Nmi-Presentation-Sept-2007-4-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide5" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:595 / 842" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-4" alt="Device Parasitics INTRO When we put down a device in layout we introduce parasitics. Simple as that. So what happens when we put down a mos device? Simple MOS Parasitics We introduce a whole host of resistive and capacitive parasitics and as a layout engineer there are some on these parasitics that can be optimised and there&#x27;s some that can&#x27;t. Parasitics that we can&#x27;t optimise are directly related to the length of the device which we can&#x27;t change. We can optimise the gate resistance by tying both ends of the poly in metal thereby connecting another resistor, in this case metal1, in parallel with in. Connecting the gate in metal will improve the resistance by 200% - 400%. We can improve the drain and source parasitic capacitance by folding the device. But which one do we optimise? This is particularly done on differential pairs. If we optimise the source area then we&#x27;re optimising a region that is going to ground if it&#x27;s an NMOS device. Any good? If we optimise the drain area then we&#x27;re optimising the output node which in RF circuits is highly sensitive to capacitance. So it&#x27;s best to optimise this. We can also improve the substrate resistance by putting in lots of well contacts. This will help prevent against latch-up and also serve as noise protection. ICMaskDesign Adrian O&#x27;Shaughnessy " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/85/Nmi-Presentation-Sept-2007-5-320.jpg 320w, https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/85/Nmi-Presentation-Sept-2007-5-638.jpg 638w, https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/75/Nmi-Presentation-Sept-2007-5-2048.jpg 2048w" src="https://image.slidesharecdn.com/nmipresentationsept2007-12870663346649-phpapp01/85/Nmi-Presentation-Sept-2007-5-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div></div></div><div id="sidebar" class="sidebar hide-scrollbar Sidebar_root__1BbNu" style="--slots:2"><div class="above-recs-ad-wrapper AboveRecsAd_root__iTmTR"><div class="AboveRecsAd_desktopAd__ymykj"><div class="freestar-ad-container FreestarAdContainer_root__qPPC_" style="--fallback-aspect-ratio:300 / 260" data-testid="freestar-ad-container"><div><div class="" id="above-recs-desktop-ad-sm"></div></div></div></div></div><!--$--><div class="rail-recs RailRecommendations_root__zqtZQ"><h2 class="RailRecommendations_title__kt1D2">Recommended</h2><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-0" aria-haspopup="dialog" aria-controls=":Rgql4f6:" popovertarget=":Rgql4f6:" style="anchor-name:--popover-Rgql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/ntn-moat-noiseanalysis/33214012"><span class="sr-only">NT_N Guard Ring Noise Analysis</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:0" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="NT_N Guard Ring Noise Analysis" src="https://cdn.slidesharecdn.com/ss_thumbnails/linkedinmoatnoiseanalysis-signed-140407045535-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="NT_N Guard Ring Noise Analysis" src="https://cdn.slidesharecdn.com/ss_thumbnails/linkedinmoatnoiseanalysis-signed-140407045535-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">NT_N Guard Ring Noise Analysis</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save NT_N Guard Ring Noise Analysis for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mgql4f6:" popovertarget=":R5mgql4f6:" style="anchor-name:--popover-R5mgql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mgql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mgql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AdrianOShaughnessy">AdrianOShaughnessy</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The purpose of this report is to provide a detailed example and analysis of a N_TN guard ring structure. This scheme could be used to separate the analogue and digital domains on chip, and thus used for noise attenuation and noise collection. Furthermore this report will hypothesis additional noise performance improvements that could be made for added noise isolation. </span></div></div><div class="" id=":Rgql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rgql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-1" aria-haspopup="dialog" aria-controls=":Rhal4f6:" popovertarget=":Rhal4f6:" style="anchor-name:--popover-Rhal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/WPE/912927"><span class="sr-only">WPE</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:1" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="WPE" src="https://cdn.slidesharecdn.com/ss_thumbnails/WPE-123184826661-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="WPE" src="https://cdn.slidesharecdn.com/ss_thumbnails/WPE-123184826661-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">WPE</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save WPE for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mhal4f6:" popovertarget=":R5mhal4f6:" style="anchor-name:--popover-R5mhal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mhal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mhal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AdrianOShaughnessy">AdrianOShaughnessy</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses the Well Proximity Effect (WPE) where transistors located near the edge of a well will have different thresholds and currents compared to those located remotely from the edge. The WPE effect occurs for all types of MOS transistors including standard, high, low, and thick/thin oxide devices. It provides a diagram from an IC Mask Design course illustrating the WPE effect.</span></div></div><div class="" id=":Rhal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rhal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-2" aria-haspopup="dialog" aria-controls=":Rhql4f6:" popovertarget=":Rhql4f6:" style="anchor-name:--popover-Rhql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/double-patterning-for-32nm-and-beyond/30955526"><span class="sr-only">Double patterning for 32nm and beyond</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:2" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Double patterning for 32nm and beyond" src="https://cdn.slidesharecdn.com/ss_thumbnails/presentationdp-140207121538-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Double patterning for 32nm and beyond" src="https://cdn.slidesharecdn.com/ss_thumbnails/presentationdp-140207121538-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Double patterning for 32nm and beyond</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Double patterning for 32nm and beyond for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mhql4f6:" popovertarget=":R5mhql4f6:" style="anchor-name:--popover-R5mhql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mhql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mhql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ManikandanSampathkum">Manikandan Sampathkumar</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Multiple patterning is a class of technologies for manufacturing integrated circuits (ICs), developed for photolithography to enhance the feature density. The simplest case of multiple patterning is double patterning, where a conventional lithography process is enhanced to produce double the expected number of features. The resolution of a photoresist pattern is believed to blur at around 45 nm half-pitch. For the semiconductor industry, therefore, double patterning was introduced for the 32 nm half-pitch node and below. This presentation gives us an insight of why multiple patterning is an important to give us a better resolution below 32nm. </span></div></div><div class="" id=":Rhql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rhql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-3" aria-haspopup="dialog" aria-controls=":Rial4f6:" popovertarget=":Rial4f6:" style="anchor-name:--popover-Rial4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/double-patterning-3621214/3621214"><span class="sr-only">Double Patterning (4/2 update)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:3" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Double Patterning (4/2 update)" src="https://cdn.slidesharecdn.com/ss_thumbnails/3621214-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Double Patterning (4/2 update)" src="https://cdn.slidesharecdn.com/ss_thumbnails/3621214-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Double Patterning (4/2 update)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Double Patterning (4/2 update) for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mial4f6:" popovertarget=":R5mial4f6:" style="anchor-name:--popover-R5mial4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mial4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mial4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/luk036">Danny Luk</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses double patterning lithography techniques. It introduces how optical lithography is approaching its limits and double patterning is needed for smaller feature sizes. It describes the double patterning process and challenges including feature distortion and decreased yield. The document outlines techniques for polygon cutting, priority search trees, and decomposing conflict graphs into tri-connected components to solve the layout splitting problem. Experimental results on test cases including a 320k polygon design show the method achieves 3-10x speedup.</span></div></div><div class="" id=":Rial4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rial4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-4" aria-haspopup="dialog" aria-controls=":Riql4f6:" popovertarget=":Riql4f6:" style="anchor-name:--popover-Riql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/introduction-to-finfet-details-of-finfet/239800902"><span class="sr-only">Introduction to FINFET, Details of FinFET</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:4" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Introduction to FINFET, Details of FinFET" src="https://cdn.slidesharecdn.com/ss_thumbnails/finfetppt-201205162426-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Introduction to FINFET, Details of FinFET" src="https://cdn.slidesharecdn.com/ss_thumbnails/finfetppt-201205162426-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Introduction to FINFET, Details of FinFET</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Introduction to FINFET, Details of FinFET for later" role="switch" aria-haspopup="dialog" aria-controls=":R5miql4f6:" popovertarget=":R5miql4f6:" style="anchor-name:--popover-R5miql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5miql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5miql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/justingeorge55">Justin George</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">1) The document discusses FinFET, a type of non-planar transistor used in modern semiconductor fabrication. It describes the construction of FinFET which involves etching fins on an SOI substrate and wrapping gates around the fins. 2) FinFET works by having an elevated fin-shaped channel that the gate wraps around. This allows FinFET to operate at lower voltage and offer higher drive current compared to planar transistors. 3) FinFET technology is being widely adopted in integrated circuits due to advantages like suppressed short channel effect, better drive current, lower leakage power, and no random dopant fluctuation.</span></div></div><div class="" id=":Riql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Riql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-5" aria-haspopup="dialog" aria-controls=":Rjal4f6:" popovertarget=":Rjal4f6:" style="anchor-name:--popover-Rjal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/optimazation-of-standard-cell-layout-70517450/70517450"><span class="sr-only">optimazation of standard cell layout</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:5" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="optimazation of standard cell layout" src="https://cdn.slidesharecdn.com/ss_thumbnails/8d1d2c82-57db-4662-b92e-d5dbe95d951e-161229063603-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="optimazation of standard cell layout" src="https://cdn.slidesharecdn.com/ss_thumbnails/8d1d2c82-57db-4662-b92e-d5dbe95d951e-161229063603-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">optimazation of standard cell layout</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save optimazation of standard cell layout for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mjal4f6:" popovertarget=":R5mjal4f6:" style="anchor-name:--popover-R5mjal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mjal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mjal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/EERYashnagaria">E ER Yash nagaria</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses various layout optimizations that can be made to standard cells to reduce both internal power and area. These include removing &quot;hammer head&quot; structures to decrease transistor length, moving gate contacts over active areas to reduce transistor height, and reducing source/drain capacitances to decrease dynamic current without impacting speed. Post-layout simulations showed a new D flip-flop design with these optimizations reduced internal power by 20% while maintaining clock-to-Q delay, and improved saturation current by 15-50% while reducing area by 20%.</span></div></div><div class="" id=":Rjal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rjal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-6" aria-haspopup="dialog" aria-controls=":Rjql4f6:" popovertarget=":Rjql4f6:" style="anchor-name:--popover-Rjql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/finfet-47143716/47143716"><span class="sr-only">Finfet</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:6" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Finfet" src="https://cdn.slidesharecdn.com/ss_thumbnails/finfet-150418093709-conversion-gate01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Finfet" src="https://cdn.slidesharecdn.com/ss_thumbnails/finfet-150418093709-conversion-gate01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Finfet</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Finfet for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mjql4f6:" popovertarget=":R5mjql4f6:" style="anchor-name:--popover-R5mjql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mjql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mjql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AdityaSingh155">Aditya Singh</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses the history and development of FinFET transistors. FinFETs were developed to overcome short channel effects by using a thin silicon fin as the channel between the source and drain. This allows the gate to control the channel from both sides and edges of the fin. FinFET fabrication involves depositing fins using electron beam lithography then depositing a gate material around the fins. FinFETs suppress short channel effects and allow for higher density transistors compared to planar MOSFETs, though they also have some disadvantages like reduced mobility.</span></div></div><div class="" id=":Rjql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rjql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-7" aria-haspopup="dialog" aria-controls=":Rkal4f6:" popovertarget=":Rkal4f6:" style="anchor-name:--popover-Rkal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/analog-design-cit-f/29991014"><span class="sr-only">Analog Layout design </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:7" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Analog Layout design " src="https://cdn.slidesharecdn.com/ss_thumbnails/analogdesigncitf-140114022933-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Analog Layout design " src="https://cdn.slidesharecdn.com/ss_thumbnails/analogdesigncitf-140114022933-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Analog Layout design </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Analog Layout design for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mkal4f6:" popovertarget=":R5mkal4f6:" style="anchor-name:--popover-R5mkal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mkal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mkal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/slpinjare">slpinjare</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document provides an overview of analog layout design. It discusses that analog circuits require careful attention to geometry during layout due to process variations. The analog design flow includes electrical design, physical design involving layout, and fabrication/testing. Key considerations for analog layout include minimizing parasitic resistances and capacitances, reducing noise, and ensuring matching between identical components using techniques like common-centroid layout. Resistors and capacitors must be carefully laid out to minimize non-ideal effects and provide accurate values.</span></div></div><div class="" id=":Rkal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rkal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-8" aria-haspopup="dialog" aria-controls=":Rkql4f6:" popovertarget=":Rkql4f6:" style="anchor-name:--popover-Rkql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/matching-concept-in-microelectronics/237111064"><span class="sr-only">Matching concept in Microelectronics</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:8" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Matching concept in Microelectronics" src="https://cdn.slidesharecdn.com/ss_thumbnails/microelectronicsmatchingpresentation3matchingied3030harishivem177319-200721134926-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Matching concept in Microelectronics" src="https://cdn.slidesharecdn.com/ss_thumbnails/microelectronicsmatchingpresentation3matchingied3030harishivem177319-200721134926-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Matching concept in Microelectronics</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Matching concept in Microelectronics for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mkql4f6:" popovertarget=":R5mkql4f6:" style="anchor-name:--popover-R5mkql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mkql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mkql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/HarishSingh8">Tallinn University of Technology</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This presentation speaks about type of matching in microelectronics. Dependencies of matching are discussed.</span></div></div><div class="" id=":Rkql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rkql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-9" aria-haspopup="dialog" aria-controls=":Rlal4f6:" popovertarget=":Rlal4f6:" style="anchor-name:--popover-Rlal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/venkat1234_nxp/layout02-1"><span class="sr-only">Layout02 (1)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:9" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Layout02 (1)" src="https://cdn.slidesharecdn.com/ss_thumbnails/layout021-160229081601-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Layout02 (1)" src="https://cdn.slidesharecdn.com/ss_thumbnails/layout021-160229081601-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Layout02 (1)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Layout02 (1) for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mlal4f6:" popovertarget=":R5mlal4f6:" style="anchor-name:--popover-R5mlal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mlal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mlal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/venkat1234_nxp">venkat1234_nxp</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses the layout of analog CMOS integrated circuits. It focuses on the layout of transistors and basic cells. Key topics covered include: - Layout of a single transistor, use of multiple fingers, and interdigitated transistors for matching. - Common centroid layouts and dummy devices to reduce mismatch. - Ensuring matched interconnect resistance, capacitance, and parasitics. - Stacked layout of analog cells with stick diagrams to represent multiple transistors. - Two examples of laying out basic cells - a two-stage op-amp and folded cascode. Design considerations like transistor sizing and grouping are discussed.</span></div></div><div class="" id=":Rlal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rlal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-10" aria-haspopup="dialog" aria-controls=":Rlql4f6:" popovertarget=":Rlql4f6:" style="anchor-name:--popover-Rlql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/latch-up/26735030"><span class="sr-only">Latch up</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:10" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Latch up" src="https://cdn.slidesharecdn.com/ss_thumbnails/latch-up-131001053300-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Latch up" src="https://cdn.slidesharecdn.com/ss_thumbnails/latch-up-131001053300-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Latch up</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Latch up for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mlql4f6:" popovertarget=":R5mlql4f6:" style="anchor-name:--popover-R5mlql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mlql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mlql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ishan111">ishan111</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Latch-up occurs in CMOS chips due to the interaction of parasitic bipolar transistors that form a silicon-controlled rectifier between the power and ground rails. This can cause excessive currents and potentially damage devices. Latch-up can be triggered by disturbances that increase the collector current of one of the parasitic transistors, activating positive feedback between the transistors. Guidelines for preventing latch-up include using guard rings connected to power and ground around transistors to reduce resistance and capture minority carriers, as well as placing wells and substrate contacts close to transistor sources.</span></div></div><div class="" id=":Rlql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rlql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-11" aria-haspopup="dialog" aria-controls=":Rmal4f6:" popovertarget=":Rmal4f6:" style="anchor-name:--popover-Rmal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/ic-technology/59364472"><span class="sr-only">IC Technology </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:11" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="IC Technology " src="https://cdn.slidesharecdn.com/ss_thumbnails/ictechnologysachin-160310103932-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="IC Technology " src="https://cdn.slidesharecdn.com/ss_thumbnails/ictechnologysachin-160310103932-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">IC Technology </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save IC Technology for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mmal4f6:" popovertarget=":R5mmal4f6:" style="anchor-name:--popover-R5mmal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mmal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mmal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/sdpable">sdpable</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses integrated circuit technology. It begins with an overview of the IC market breakdown by sector. It then discusses advantages of ICs such as smaller size, higher speed, lower power consumption compared to discrete components. The document provides a history of important IC inventions from 1904 to the present. It also discusses transistor scaling that has allowed achieving more complex ICs through reduced dimensions over time. Finally, it covers different IC design styles such as full custom, standard cell, gate array, and FPGA and their tradeoffs in terms of performance, cost, area, and time-to-market.</span></div></div><div class="" id=":Rmal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rmal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-12" aria-haspopup="dialog" aria-controls=":Rmql4f6:" popovertarget=":Rmql4f6:" style="anchor-name:--popover-Rmql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/ic-tech-unit-5-vlsi-process-integration/70256121"><span class="sr-only">Ic tech unit 5- VLSI Process Integration</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:12" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Ic tech unit 5- VLSI Process Integration" src="https://cdn.slidesharecdn.com/ss_thumbnails/ictechunit5-161219055549-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Ic tech unit 5- VLSI Process Integration" src="https://cdn.slidesharecdn.com/ss_thumbnails/ictechunit5-161219055549-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Ic tech unit 5- VLSI Process Integration</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Ic tech unit 5- VLSI Process Integration for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mmql4f6:" popovertarget=":R5mmql4f6:" style="anchor-name:--popover-R5mmql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mmql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mmql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/kritickasharma">kriticka sharma</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">junction oxide and isolation techniques, LOCOS, Metallization, NMOS and CMOS technology, FAult diagnosis</span></div></div><div class="" id=":Rmql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rmql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-13" aria-haspopup="dialog" aria-controls=":Rnal4f6:" popovertarget=":Rnal4f6:" style="anchor-name:--popover-Rnal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/mosfet-soifet-and-finfet/236290962"><span class="sr-only">MOSFET, SOI-FET and FIN-FET-ABU SYED KUET</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:13" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="MOSFET, SOI-FET and FIN-FET-ABU SYED KUET" src="https://cdn.slidesharecdn.com/ss_thumbnails/mosfetsoi-fetandfinfet-200627074207-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="MOSFET, SOI-FET and FIN-FET-ABU SYED KUET" src="https://cdn.slidesharecdn.com/ss_thumbnails/mosfetsoi-fetandfinfet-200627074207-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">MOSFET, SOI-FET and FIN-FET-ABU SYED KUET</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save MOSFET, SOI-FET and FIN-FET-ABU SYED KUET for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mnal4f6:" popovertarget=":R5mnal4f6:" style="anchor-name:--popover-R5mnal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mnal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mnal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/syedsumon">A. S. M. Jannatul Islam</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document provides an overview of the history and scaling of transistors and integrated circuits. It discusses how vacuum tubes were replaced by transistors, with the first transistor invented in 1947 and the first integrated circuit in 1958. It describes how continuous scaling and improvements in silicon manufacturing have led to billions of transistors being integrated onto a single chip today. The document then discusses different transistor technologies, including MOSFETs, and how scaling to smaller sizes introduced challenges like short channel effects that new transistor designs like FinFETs help address.</span></div></div><div class="" id=":Rnal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rnal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-14" aria-haspopup="dialog" aria-controls=":Rnql4f6:" popovertarget=":Rnql4f6:" style="anchor-name:--popover-Rnql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/second-order-effects/89683801"><span class="sr-only">Second order effects</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:14" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Second order effects" src="https://cdn.slidesharecdn.com/ss_thumbnails/secondordereffects-180305173314-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Second order effects" src="https://cdn.slidesharecdn.com/ss_thumbnails/secondordereffects-180305173314-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Second order effects</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Second order effects for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mnql4f6:" popovertarget=":R5mnql4f6:" style="anchor-name:--popover-R5mnql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mnql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mnql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/rppvch">PRAVEEN KUMAR CHITLURI</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses four topics related to transistors: 1. Threshold voltage is the minimum gate voltage needed to create a conducting path between source and drain, and depends on oxide thickness, temperature, and random dopant fluctuations. 2. Latchup refers to a short circuit formed between power and ground rails in an integrated circuit, caused by interaction between parasitic bipolar transistors. 3. Electromigration is the forced movement of metal ions due to an electric field, with atoms traveling toward the positive conductor end and vacancies toward the negative end. 4. Mobility degradation occurs due to lateral and vertical electric fields scattering carriers, reducing surface mobility as channel lengths shrink.</span></div></div><div class="" id=":Rnql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rnql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-15" aria-haspopup="dialog" aria-controls=":Roal4f6:" popovertarget=":Roal4f6:" style="anchor-name:--popover-Roal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/lightly-doped-drain/79861534"><span class="sr-only">Lightly Doped Drain</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:15" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Lightly Doped Drain" src="https://cdn.slidesharecdn.com/ss_thumbnails/ldd-170917150611-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Lightly Doped Drain" src="https://cdn.slidesharecdn.com/ss_thumbnails/ldd-170917150611-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Lightly Doped Drain</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Lightly Doped Drain for later" role="switch" aria-haspopup="dialog" aria-controls=":R5moal4f6:" popovertarget=":R5moal4f6:" style="anchor-name:--popover-R5moal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5moal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5moal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/shudhanshu29">Sudhanshu Janwadkar</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This presentation discusses about the need for Lightly Doped Drain. Also, why are LDD implants required in nMOS but not in pMOS</span></div></div><div class="" id=":Roal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Roal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-16" aria-haspopup="dialog" aria-controls=":Roql4f6:" popovertarget=":Roql4f6:" style="anchor-name:--popover-Roql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/subhradeeptoton/crosstalk-minimisation-using-vlsi-26184039"><span class="sr-only">crosstalk minimisation using vlsi</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:16" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="crosstalk minimisation using vlsi" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsialmostfinal-130913214006-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="crosstalk minimisation using vlsi" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsialmostfinal-130913214006-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">crosstalk minimisation using vlsi</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save crosstalk minimisation using vlsi for later" role="switch" aria-haspopup="dialog" aria-controls=":R5moql4f6:" popovertarget=":R5moql4f6:" style="anchor-name:--popover-R5moql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5moql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5moql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/subhradeeptoton">subhradeep mitra</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document summarizes techniques for minimizing crosstalk in VLSI designs. It discusses the VLSI design cycle and physical design cycle. Routing is a key stage that involves global and detailed routing. Crosstalk occurs due to mutual inductance and capacitance between wires and can affect signal integrity, delay, and timing. Basic approaches to reduce crosstalk include segregating wires, increasing spacing between wires, using ground shields, optimizing the net ordering and layer assignments. Specific techniques mentioned are widening spacing, minimizing wire heights and lengths of parallel runs, using differential routing, and routing orthogonal layers.</span></div></div><div class="" id=":Roql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Roql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-17" aria-haspopup="dialog" aria-controls=":Rpal4f6:" popovertarget=":Rpal4f6:" style="anchor-name:--popover-Rpal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/mosfet-124149811/124149811"><span class="sr-only">Mosfet</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:17" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Mosfet" src="https://cdn.slidesharecdn.com/ss_thumbnails/mosfet-181127115058-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Mosfet" src="https://cdn.slidesharecdn.com/ss_thumbnails/mosfet-181127115058-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Mosfet</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Mosfet for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mpal4f6:" popovertarget=":R5mpal4f6:" style="anchor-name:--popover-R5mpal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mpal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mpal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/sarunkutti">sarunkutti</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses the I-V characteristics of MOSFETs, which occur in three regions: cutoff, linear, and saturation. In cutoff region (VGS &lt; VT), no channel is formed and IDS = 0. In linear region (VGS &gt; VT, VDS &lt; VGS - VT), IDS varies linearly with VDS. In saturation region (VGS &gt; VT, VDS &gt; VGS - VT), further increases in VDS do not increase IDS due to channel pinch-off. Equations are provided to describe IDS in each region.</span></div></div><div class="" id=":Rpal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rpal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-18" aria-haspopup="dialog" aria-controls=":Rpql4f6:" popovertarget=":Rpql4f6:" style="anchor-name:--popover-Rpql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/bandgap-ppt/57274756"><span class="sr-only">bandgap ppt</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:18" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="bandgap ppt" src="https://cdn.slidesharecdn.com/ss_thumbnails/79768869-c307-4439-87aa-81b230495570-160120124422-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="bandgap ppt" src="https://cdn.slidesharecdn.com/ss_thumbnails/79768869-c307-4439-87aa-81b230495570-160120124422-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">bandgap ppt</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save bandgap ppt for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mpql4f6:" popovertarget=":R5mpql4f6:" style="anchor-name:--popover-R5mpql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mpql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mpql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/lingadharreddy">lingadhar reddy</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document describes the implementation of a bandgap reference circuit. It was designed by M. Lingadhar Reddy under the guidance of Mr. G. Shiva Kumar at GITAM University in Hyderabad, India from 2013-2015. The document outlines the basic operation of a bandgap reference circuit, which produces a reference voltage that is stable over changes in temperature, supply voltage, and process parameters. It discusses the tool and technology used, different approaches to bandgap references, and details the design and simulation results of a two-stage CMOS operational amplifier and final bandgap reference circuit implemented in a 90nm CMOS technology using Cadence Virtuoso.</span></div></div><div class="" id=":Rpql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rpql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-19" aria-haspopup="dialog" aria-controls=":Rqal4f6:" popovertarget=":Rqal4f6:" style="anchor-name:--popover-Rqal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-design-and-fabrication-ppt/47160694"><span class="sr-only">Vlsi design and fabrication ppt</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:19" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Vlsi design and fabrication ppt" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsidesignandfabricationppt-150419062609-conversion-gate01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Vlsi design and fabrication ppt" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsidesignandfabricationppt-150419062609-conversion-gate01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Vlsi design and fabrication ppt</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Vlsi design and fabrication ppt for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mqal4f6:" popovertarget=":R5mqal4f6:" style="anchor-name:--popover-R5mqal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mqal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mqal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/manjushreemm">Manjushree Mashal</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document provides information about a paper presentation on VLSI design and fabrication by two students. It includes an outline of topics to be covered such as introduction to VLSI, MOS transistors, CMOS circuits, and fabrication. The presentation aims to provide an introduction to VLSI design including how MOS transistors work and are used to build logic gates, as well as the process of designing masks and layouts for chips. It also gives an overview of the fabrication process used to manufacture chips.</span></div></div><div class="" id=":Rqal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rqal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-20" aria-haspopup="dialog" aria-controls=":Rqql4f6:" popovertarget=":Rqql4f6:" style="anchor-name:--popover-Rqql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/introduction-to-finfet-89025450/89025450"><span class="sr-only">Introduction to FinFET</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:20" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Introduction to FinFET" src="https://cdn.slidesharecdn.com/ss_thumbnails/introductiontofinfet-180227020253-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Introduction to FinFET" src="https://cdn.slidesharecdn.com/ss_thumbnails/introductiontofinfet-180227020253-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Introduction to FinFET</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Introduction to FinFET for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mqql4f6:" popovertarget=":R5mqql4f6:" style="anchor-name:--popover-R5mqql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mqql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mqql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ManishKenchi">ManishKenchi</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">For more information regarding the &quot;Construction of finFET&quot; you can contact through mail (manishkenchi111296@gmail.com)</span></div></div><div class="" id=":Rqql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rqql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-21" aria-haspopup="dialog" aria-controls=":Rral4f6:" popovertarget=":Rral4f6:" style="anchor-name:--popover-Rral4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/bgr-57274715/57274715"><span class="sr-only">BGR</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:21" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="BGR" src="https://cdn.slidesharecdn.com/ss_thumbnails/fe67e1bd-c993-4e70-9b81-ab711473d201-160120124327-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="BGR" src="https://cdn.slidesharecdn.com/ss_thumbnails/fe67e1bd-c993-4e70-9b81-ab711473d201-160120124327-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">BGR</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save BGR for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mral4f6:" popovertarget=":R5mral4f6:" style="anchor-name:--popover-R5mral4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mral4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mral4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/lingadharreddy">lingadhar reddy</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document describes the implementation of a bandgap reference circuit. It begins by acknowledging those who supported the project. It then provides an abstract stating that bandgap reference circuits are used to generate stable reference voltages and currents on integrated circuits. The main goal of the project was to understand bandgap reference design and limitations, and implement a bandgap reference circuit in a 90nm CMOS technology using CADENCE.</span></div></div><div class="" id=":Rral4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rral4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-22" aria-haspopup="dialog" aria-controls=":Rrql4f6:" popovertarget=":Rrql4f6:" style="anchor-name:--popover-Rrql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-designfabrication/49132602"><span class="sr-only">VLSI Design(Fabrication)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:22" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="VLSI Design(Fabrication)" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsi2-150608165741-lva1-app6891-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="VLSI Design(Fabrication)" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsi2-150608165741-lva1-app6891-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">VLSI Design(Fabrication)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save VLSI Design(Fabrication) for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mrql4f6:" popovertarget=":R5mrql4f6:" style="anchor-name:--popover-R5mrql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mrql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mrql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/TrijitMallick">Trijit Mallick</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">this ppt provides fabrication on SI wafer, CMOS fabrication process, basic CMOS inverter, future, application, advantage, disadvantage etc.</span></div></div><div class="" id=":Rrql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rrql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-23" aria-haspopup="dialog" aria-controls=":Rsal4f6:" popovertarget=":Rsal4f6:" style="anchor-name:--popover-Rsal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/short-channel-effects-115332110/115332110"><span class="sr-only">Short channel effects</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:23" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Short channel effects" src="https://cdn.slidesharecdn.com/ss_thumbnails/shortchanneleffects-180919050414-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Short channel effects" src="https://cdn.slidesharecdn.com/ss_thumbnails/shortchanneleffects-180919050414-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Short channel effects</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Short channel effects for later" role="switch" aria-haspopup="dialog" aria-controls=":R5msal4f6:" popovertarget=":R5msal4f6:" style="anchor-name:--popover-R5msal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5msal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5msal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/aditiagrawal97">aditiagrawal97</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses short channel effects that occur in MOSFET devices when the channel length decreases to the same order of magnitude as the source/drain junction depth. It describes five main short channel effects: drain induced barrier lowering, drain punch through, velocity saturation, impact ionization, and hot electron effects. For each effect, it provides an explanation of the physical phenomenon and how it impacts device performance as the channel length decreases. It concludes by listing three references for further reading on leakage current mechanisms and MOSFET modeling.</span></div></div><div class="" id=":Rsal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rsal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-24" aria-haspopup="dialog" aria-controls=":Rsql4f6:" popovertarget=":Rsql4f6:" style="anchor-name:--popover-Rsql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/finfet-technology/227466347"><span class="sr-only">Finfet Technology</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:24" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Finfet Technology" src="https://cdn.slidesharecdn.com/ss_thumbnails/17304013-navya-200209170047-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Finfet Technology" src="https://cdn.slidesharecdn.com/ss_thumbnails/17304013-navya-200209170047-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Finfet Technology</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Finfet Technology for later" role="switch" aria-haspopup="dialog" aria-controls=":R5msql4f6:" popovertarget=":R5msql4f6:" style="anchor-name:--popover-R5msql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5msql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5msql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/SrinivasVasamsetti2">Srinivas Vasamsetti </a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses FinFET technology. It begins with an introduction to FinFETs, explaining that they are a type of double-gate CMOS that offers advantages over traditional CMOS for scaling to short gate lengths. It then discusses why FinFET technology is needed as traditional CMOS scaling faces challenges from subthreshold and gate leakage. It provides details on double-gate FET structure and operation, including how it controls short-channel effects better than single-gate FETs. It also covers FinFET features, applications, challenges and concludes that FinFETs can help continue CMOS scaling if key issues like fin patterning and gate work functions are addressed.</span></div></div><div class="" id=":Rsql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rsql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-25" aria-haspopup="dialog" aria-controls=":Rtal4f6:" popovertarget=":Rtal4f6:" style="anchor-name:--popover-Rtal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-2/11350708"><span class="sr-only">Vlsi 2</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:25" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Vlsi 2" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsi2-120131080155-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Vlsi 2" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsi2-120131080155-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Vlsi 2</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Vlsi 2 for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mtal4f6:" popovertarget=":R5mtal4f6:" style="anchor-name:--popover-R5mtal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mtal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mtal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/idris01111">idris01111</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document describes the key steps in CMOS fabrication: 1. A p-type substrate is used, and n-well regions are defined through implantation to create isolation for p-MOSFETs. 2. Active device regions and field oxide isolation are then patterned, followed by gate oxide growth and polysilicon deposition. 3. Self-aligned implantation creates n-type and p-type source/drain regions. Additional metallization layers connect the transistors.</span></div></div><div class="" id=":Rtal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rtal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-26" aria-haspopup="dialog" aria-controls=":Rtql4f6:" popovertarget=":Rtql4f6:" style="anchor-name:--popover-Rtql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/powerplanning/16883536"><span class="sr-only">Powerplanning</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:26" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Powerplanning" src="https://cdn.slidesharecdn.com/ss_thumbnails/powerplanning-130302112400-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Powerplanning" src="https://cdn.slidesharecdn.com/ss_thumbnails/powerplanning-130302112400-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Powerplanning</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Powerplanning for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mtql4f6:" popovertarget=":R5mtql4f6:" style="anchor-name:--popover-R5mtql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mtql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mtql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">https://www.udemy.com/vlsi-academy Usually, while drawing any circuit on paper, we have only one &#x27;vdd&#x27; at the top and one &#x27;vss&#x27; at the bottom. But on a chip, it becomes necessary to have a grid structure of power, with more than one &#x27;vdd&#x27; and &#x27;vss&#x27;. The concept of power grid structure would be uploaded soon. It is actually the scaling trend that drives chip designers for power grid structure. </span></div></div><div class="" id=":Rtql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rtql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-27" aria-haspopup="dialog" aria-controls=":Rual4f6:" popovertarget=":Rual4f6:" style="anchor-name:--popover-Rual4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/cmos-fabrication-71314286/71314286"><span class="sr-only">Cmos fabrication</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:27" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Cmos fabrication" src="https://cdn.slidesharecdn.com/ss_thumbnails/cmosfabrication-170124042413-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Cmos fabrication" src="https://cdn.slidesharecdn.com/ss_thumbnails/cmosfabrication-170124042413-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Cmos fabrication</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Cmos fabrication for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mual4f6:" popovertarget=":R5mual4f6:" style="anchor-name:--popover-R5mual4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mual4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mual4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/KANAGARAJT4">KANAGARAJ T</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document summarizes the basic steps in CMOS fabrication: (1) dopants are diffused or implanted into a silicon wafer to form n-well and p-substrate regions; (2) a gate oxide and polysilicon layer are deposited and patterned to form transistors; (3) n+ and p+ diffusion regions are implanted or diffused to form sources, drains and contacts; (4) a metallization process deposits aluminum wires to connect the transistors together into circuits. The process involves repeatedly depositing materials and using lithography to pattern layers from the bottom up on the silicon wafer.</span></div></div><div class="" id=":Rual4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rual4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-28" aria-haspopup="dialog" aria-controls=":Ruql4f6:" popovertarget=":Ruql4f6:" style="anchor-name:--popover-Ruql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/concept-note-env-laboratory-infrastructureppp-min-of-env-48790598/48790598"><span class="sr-only">Concept note env laboratory infrastructure-ppp min of env</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:28" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Concept note env laboratory infrastructure-ppp min of env" src="https://cdn.slidesharecdn.com/ss_thumbnails/conceptnote-envlaboratoryinfrastructure-pppminofenv-150530173651-lva1-app6892-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Concept note env laboratory infrastructure-ppp min of env" src="https://cdn.slidesharecdn.com/ss_thumbnails/conceptnote-envlaboratoryinfrastructure-pppminofenv-150530173651-lva1-app6892-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Concept note env laboratory infrastructure-ppp min of env</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Concept note env laboratory infrastructure-ppp min of env for later" role="switch" aria-haspopup="dialog" aria-controls=":R5muql4f6:" popovertarget=":R5muql4f6:" style="anchor-name:--popover-R5muql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5muql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5muql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/canopyg">canopyg</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The role of Environmental Analytical Laboratories in Environmental Management and Sustainable Development</span></div></div><div class="" id=":Ruql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Ruql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-29" aria-haspopup="dialog" aria-controls=":Rval4f6:" popovertarget=":Rval4f6:" style="anchor-name:--popover-Rval4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/responsiv-design-wordcampcph-14/35094976"><span class="sr-only">Responsiv Design, WordCampCPH 14</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:29" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Responsiv Design, WordCampCPH 14" src="https://cdn.slidesharecdn.com/ss_thumbnails/wordcamp-14-140525071328-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Responsiv Design, WordCampCPH 14" src="https://cdn.slidesharecdn.com/ss_thumbnails/wordcamp-14-140525071328-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Responsiv Design, WordCampCPH 14</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Responsiv Design, WordCampCPH 14 for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mval4f6:" popovertarget=":R5mval4f6:" style="anchor-name:--popover-R5mval4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mval4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mval4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/CathrineFallesen">Anne Cathrine Wind Fallesen</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Danish speak on the concept of responsive webdesign, co</span></div></div><div class="" id=":Rval4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rval4f6"></div></div><!--/$--></div></div><!--$--><div class="RelatedContent_root__29Np1"><div class="RelatedContent_wrapper__riU7l"><h2 class="Heading_heading__3MAvZ Heading_h2__f9yvs RelatedContent_title__QUhpL">More Related Content</h2><div class="bottom-recs BottomRecommendation_root__7aU9w"><h3 class="BottomRecommendation_title__SRj68">What&#x27;s hot<!-- --> <span class="BottomRecommendation_count__4HpLo">(<!-- -->20<!-- -->)</span></h3><div class="BottomRecommendationContent_root__2qm4_"><div class="mobile-recs"><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-0" aria-haspopup="dialog" aria-controls=":R45ipkf6:" popovertarget=":R45ipkf6:" style="anchor-name:--popover-R45ipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/matching-concept-in-microelectronics/237111064"><span class="sr-only">Matching concept in Microelectronics</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:0" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Matching concept in Microelectronics" src="https://cdn.slidesharecdn.com/ss_thumbnails/microelectronicsmatchingpresentation3matchingied3030harishivem177319-200721134926-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Matching concept in Microelectronics" src="https://cdn.slidesharecdn.com/ss_thumbnails/microelectronicsmatchingpresentation3matchingied3030harishivem177319-200721134926-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Matching concept in Microelectronics</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Matching concept in Microelectronics for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dk5ipkf6:" popovertarget=":R1dk5ipkf6:" style="anchor-name:--popover-R1dk5ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dk5ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dk5ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/HarishSingh8">Tallinn University of Technology</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This presentation speaks about type of matching in microelectronics. Dependencies of matching are discussed.</span></div></div><div class="" id=":R45ipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R45ipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-1" aria-haspopup="dialog" aria-controls=":R49ipkf6:" popovertarget=":R49ipkf6:" style="anchor-name:--popover-R49ipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/venkat1234_nxp/layout02-1"><span class="sr-only">Layout02 (1)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:1" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Layout02 (1)" src="https://cdn.slidesharecdn.com/ss_thumbnails/layout021-160229081601-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Layout02 (1)" src="https://cdn.slidesharecdn.com/ss_thumbnails/layout021-160229081601-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Layout02 (1)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Layout02 (1) for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dk9ipkf6:" popovertarget=":R1dk9ipkf6:" style="anchor-name:--popover-R1dk9ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dk9ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dk9ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/venkat1234_nxp">venkat1234_nxp</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses the layout of analog CMOS integrated circuits. It focuses on the layout of transistors and basic cells. Key topics covered include: - Layout of a single transistor, use of multiple fingers, and interdigitated transistors for matching. - Common centroid layouts and dummy devices to reduce mismatch. - Ensuring matched interconnect resistance, capacitance, and parasitics. - Stacked layout of analog cells with stick diagrams to represent multiple transistors. - Two examples of laying out basic cells - a two-stage op-amp and folded cascode. Design considerations like transistor sizing and grouping are discussed.</span></div></div><div class="" id=":R49ipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R49ipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-2" aria-haspopup="dialog" aria-controls=":R4dipkf6:" popovertarget=":R4dipkf6:" style="anchor-name:--popover-R4dipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/latch-up/26735030"><span class="sr-only">Latch up</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:2" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Latch up" src="https://cdn.slidesharecdn.com/ss_thumbnails/latch-up-131001053300-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Latch up" src="https://cdn.slidesharecdn.com/ss_thumbnails/latch-up-131001053300-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Latch up</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Latch up for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkdipkf6:" popovertarget=":R1dkdipkf6:" style="anchor-name:--popover-R1dkdipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkdipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkdipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ishan111">ishan111</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Latch-up occurs in CMOS chips due to the interaction of parasitic bipolar transistors that form a silicon-controlled rectifier between the power and ground rails. This can cause excessive currents and potentially damage devices. Latch-up can be triggered by disturbances that increase the collector current of one of the parasitic transistors, activating positive feedback between the transistors. Guidelines for preventing latch-up include using guard rings connected to power and ground around transistors to reduce resistance and capture minority carriers, as well as placing wells and substrate contacts close to transistor sources.</span></div></div><div class="" id=":R4dipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4dipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-3" aria-haspopup="dialog" aria-controls=":R4hipkf6:" popovertarget=":R4hipkf6:" style="anchor-name:--popover-R4hipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/ic-technology/59364472"><span class="sr-only">IC Technology </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:3" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="IC Technology " src="https://cdn.slidesharecdn.com/ss_thumbnails/ictechnologysachin-160310103932-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="IC Technology " src="https://cdn.slidesharecdn.com/ss_thumbnails/ictechnologysachin-160310103932-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">IC Technology </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save IC Technology for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkhipkf6:" popovertarget=":R1dkhipkf6:" style="anchor-name:--popover-R1dkhipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkhipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkhipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/sdpable">sdpable</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses integrated circuit technology. It begins with an overview of the IC market breakdown by sector. It then discusses advantages of ICs such as smaller size, higher speed, lower power consumption compared to discrete components. The document provides a history of important IC inventions from 1904 to the present. It also discusses transistor scaling that has allowed achieving more complex ICs through reduced dimensions over time. Finally, it covers different IC design styles such as full custom, standard cell, gate array, and FPGA and their tradeoffs in terms of performance, cost, area, and time-to-market.</span></div></div><div class="" id=":R4hipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4hipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-4" aria-haspopup="dialog" aria-controls=":R4lipkf6:" popovertarget=":R4lipkf6:" style="anchor-name:--popover-R4lipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/ic-tech-unit-5-vlsi-process-integration/70256121"><span class="sr-only">Ic tech unit 5- VLSI Process Integration</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:4" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Ic tech unit 5- VLSI Process Integration" src="https://cdn.slidesharecdn.com/ss_thumbnails/ictechunit5-161219055549-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Ic tech unit 5- VLSI Process Integration" src="https://cdn.slidesharecdn.com/ss_thumbnails/ictechunit5-161219055549-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Ic tech unit 5- VLSI Process Integration</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Ic tech unit 5- VLSI Process Integration for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dklipkf6:" popovertarget=":R1dklipkf6:" style="anchor-name:--popover-R1dklipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dklipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dklipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/kritickasharma">kriticka sharma</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">junction oxide and isolation techniques, LOCOS, Metallization, NMOS and CMOS technology, FAult diagnosis</span></div></div><div class="" id=":R4lipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4lipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-5" aria-haspopup="dialog" aria-controls=":R4pipkf6:" popovertarget=":R4pipkf6:" style="anchor-name:--popover-R4pipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/mosfet-soifet-and-finfet/236290962"><span class="sr-only">MOSFET, SOI-FET and FIN-FET-ABU SYED KUET</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:5" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="MOSFET, SOI-FET and FIN-FET-ABU SYED KUET" src="https://cdn.slidesharecdn.com/ss_thumbnails/mosfetsoi-fetandfinfet-200627074207-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="MOSFET, SOI-FET and FIN-FET-ABU SYED KUET" src="https://cdn.slidesharecdn.com/ss_thumbnails/mosfetsoi-fetandfinfet-200627074207-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">MOSFET, SOI-FET and FIN-FET-ABU SYED KUET</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save MOSFET, SOI-FET and FIN-FET-ABU SYED KUET for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkpipkf6:" popovertarget=":R1dkpipkf6:" style="anchor-name:--popover-R1dkpipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkpipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkpipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/syedsumon">A. S. M. Jannatul Islam</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document provides an overview of the history and scaling of transistors and integrated circuits. It discusses how vacuum tubes were replaced by transistors, with the first transistor invented in 1947 and the first integrated circuit in 1958. It describes how continuous scaling and improvements in silicon manufacturing have led to billions of transistors being integrated onto a single chip today. The document then discusses different transistor technologies, including MOSFETs, and how scaling to smaller sizes introduced challenges like short channel effects that new transistor designs like FinFETs help address.</span></div></div><div class="" id=":R4pipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4pipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-6" aria-haspopup="dialog" aria-controls=":R4tipkf6:" popovertarget=":R4tipkf6:" style="anchor-name:--popover-R4tipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/second-order-effects/89683801"><span class="sr-only">Second order effects</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:6" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Second order effects" src="https://cdn.slidesharecdn.com/ss_thumbnails/secondordereffects-180305173314-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Second order effects" src="https://cdn.slidesharecdn.com/ss_thumbnails/secondordereffects-180305173314-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Second order effects</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Second order effects for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dktipkf6:" popovertarget=":R1dktipkf6:" style="anchor-name:--popover-R1dktipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dktipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dktipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/rppvch">PRAVEEN KUMAR CHITLURI</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses four topics related to transistors: 1. Threshold voltage is the minimum gate voltage needed to create a conducting path between source and drain, and depends on oxide thickness, temperature, and random dopant fluctuations. 2. Latchup refers to a short circuit formed between power and ground rails in an integrated circuit, caused by interaction between parasitic bipolar transistors. 3. Electromigration is the forced movement of metal ions due to an electric field, with atoms traveling toward the positive conductor end and vacancies toward the negative end. 4. Mobility degradation occurs due to lateral and vertical electric fields scattering carriers, reducing surface mobility as channel lengths shrink.</span></div></div><div class="" id=":R4tipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4tipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-7" aria-haspopup="dialog" aria-controls=":R51ipkf6:" popovertarget=":R51ipkf6:" style="anchor-name:--popover-R51ipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/lightly-doped-drain/79861534"><span class="sr-only">Lightly Doped Drain</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:7" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Lightly Doped Drain" src="https://cdn.slidesharecdn.com/ss_thumbnails/ldd-170917150611-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Lightly Doped Drain" src="https://cdn.slidesharecdn.com/ss_thumbnails/ldd-170917150611-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Lightly Doped Drain</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Lightly Doped Drain for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dl1ipkf6:" popovertarget=":R1dl1ipkf6:" style="anchor-name:--popover-R1dl1ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dl1ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dl1ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/shudhanshu29">Sudhanshu Janwadkar</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This presentation discusses about the need for Lightly Doped Drain. Also, why are LDD implants required in nMOS but not in pMOS</span></div></div><div class="" id=":R51ipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R51ipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-8" aria-haspopup="dialog" aria-controls=":R55ipkf6:" popovertarget=":R55ipkf6:" style="anchor-name:--popover-R55ipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/subhradeeptoton/crosstalk-minimisation-using-vlsi-26184039"><span class="sr-only">crosstalk minimisation using vlsi</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:8" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="crosstalk minimisation using vlsi" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsialmostfinal-130913214006-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="crosstalk minimisation using vlsi" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsialmostfinal-130913214006-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">crosstalk minimisation using vlsi</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save crosstalk minimisation using vlsi for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dl5ipkf6:" popovertarget=":R1dl5ipkf6:" style="anchor-name:--popover-R1dl5ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dl5ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dl5ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/subhradeeptoton">subhradeep mitra</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document summarizes techniques for minimizing crosstalk in VLSI designs. It discusses the VLSI design cycle and physical design cycle. Routing is a key stage that involves global and detailed routing. Crosstalk occurs due to mutual inductance and capacitance between wires and can affect signal integrity, delay, and timing. Basic approaches to reduce crosstalk include segregating wires, increasing spacing between wires, using ground shields, optimizing the net ordering and layer assignments. Specific techniques mentioned are widening spacing, minimizing wire heights and lengths of parallel runs, using differential routing, and routing orthogonal layers.</span></div></div><div class="" id=":R55ipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R55ipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-9" aria-haspopup="dialog" aria-controls=":R59ipkf6:" popovertarget=":R59ipkf6:" style="anchor-name:--popover-R59ipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/mosfet-124149811/124149811"><span class="sr-only">Mosfet</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:9" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Mosfet" src="https://cdn.slidesharecdn.com/ss_thumbnails/mosfet-181127115058-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Mosfet" src="https://cdn.slidesharecdn.com/ss_thumbnails/mosfet-181127115058-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Mosfet</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Mosfet for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dl9ipkf6:" popovertarget=":R1dl9ipkf6:" style="anchor-name:--popover-R1dl9ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dl9ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dl9ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/sarunkutti">sarunkutti</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses the I-V characteristics of MOSFETs, which occur in three regions: cutoff, linear, and saturation. In cutoff region (VGS &lt; VT), no channel is formed and IDS = 0. In linear region (VGS &gt; VT, VDS &lt; VGS - VT), IDS varies linearly with VDS. In saturation region (VGS &gt; VT, VDS &gt; VGS - VT), further increases in VDS do not increase IDS due to channel pinch-off. Equations are provided to describe IDS in each region.</span></div></div><div class="" id=":R59ipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R59ipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-10" aria-haspopup="dialog" aria-controls=":R5dipkf6:" popovertarget=":R5dipkf6:" style="anchor-name:--popover-R5dipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/bandgap-ppt/57274756"><span class="sr-only">bandgap ppt</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:10" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="bandgap ppt" src="https://cdn.slidesharecdn.com/ss_thumbnails/79768869-c307-4439-87aa-81b230495570-160120124422-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="bandgap ppt" src="https://cdn.slidesharecdn.com/ss_thumbnails/79768869-c307-4439-87aa-81b230495570-160120124422-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">bandgap ppt</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save bandgap ppt for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dldipkf6:" popovertarget=":R1dldipkf6:" style="anchor-name:--popover-R1dldipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dldipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dldipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/lingadharreddy">lingadhar reddy</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document describes the implementation of a bandgap reference circuit. It was designed by M. Lingadhar Reddy under the guidance of Mr. G. Shiva Kumar at GITAM University in Hyderabad, India from 2013-2015. The document outlines the basic operation of a bandgap reference circuit, which produces a reference voltage that is stable over changes in temperature, supply voltage, and process parameters. It discusses the tool and technology used, different approaches to bandgap references, and details the design and simulation results of a two-stage CMOS operational amplifier and final bandgap reference circuit implemented in a 90nm CMOS technology using Cadence Virtuoso.</span></div></div><div class="" id=":R5dipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5dipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-11" aria-haspopup="dialog" aria-controls=":R5hipkf6:" popovertarget=":R5hipkf6:" style="anchor-name:--popover-R5hipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-design-and-fabrication-ppt/47160694"><span class="sr-only">Vlsi design and fabrication ppt</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:11" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Vlsi design and fabrication ppt" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsidesignandfabricationppt-150419062609-conversion-gate01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Vlsi design and fabrication ppt" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsidesignandfabricationppt-150419062609-conversion-gate01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Vlsi design and fabrication ppt</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Vlsi design and fabrication ppt for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dlhipkf6:" popovertarget=":R1dlhipkf6:" style="anchor-name:--popover-R1dlhipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dlhipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dlhipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/manjushreemm">Manjushree Mashal</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document provides information about a paper presentation on VLSI design and fabrication by two students. It includes an outline of topics to be covered such as introduction to VLSI, MOS transistors, CMOS circuits, and fabrication. The presentation aims to provide an introduction to VLSI design including how MOS transistors work and are used to build logic gates, as well as the process of designing masks and layouts for chips. It also gives an overview of the fabrication process used to manufacture chips.</span></div></div><div class="" id=":R5hipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5hipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-12" aria-haspopup="dialog" aria-controls=":R5lipkf6:" popovertarget=":R5lipkf6:" style="anchor-name:--popover-R5lipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/introduction-to-finfet-89025450/89025450"><span class="sr-only">Introduction to FinFET</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:12" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Introduction to FinFET" src="https://cdn.slidesharecdn.com/ss_thumbnails/introductiontofinfet-180227020253-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Introduction to FinFET" src="https://cdn.slidesharecdn.com/ss_thumbnails/introductiontofinfet-180227020253-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Introduction to FinFET</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Introduction to FinFET for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dllipkf6:" popovertarget=":R1dllipkf6:" style="anchor-name:--popover-R1dllipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dllipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dllipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ManishKenchi">ManishKenchi</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">For more information regarding the &quot;Construction of finFET&quot; you can contact through mail (manishkenchi111296@gmail.com)</span></div></div><div class="" id=":R5lipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5lipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-13" aria-haspopup="dialog" aria-controls=":R5pipkf6:" popovertarget=":R5pipkf6:" style="anchor-name:--popover-R5pipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/bgr-57274715/57274715"><span class="sr-only">BGR</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:13" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="BGR" src="https://cdn.slidesharecdn.com/ss_thumbnails/fe67e1bd-c993-4e70-9b81-ab711473d201-160120124327-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="BGR" src="https://cdn.slidesharecdn.com/ss_thumbnails/fe67e1bd-c993-4e70-9b81-ab711473d201-160120124327-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">BGR</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save BGR for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dlpipkf6:" popovertarget=":R1dlpipkf6:" style="anchor-name:--popover-R1dlpipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dlpipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dlpipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/lingadharreddy">lingadhar reddy</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document describes the implementation of a bandgap reference circuit. It begins by acknowledging those who supported the project. It then provides an abstract stating that bandgap reference circuits are used to generate stable reference voltages and currents on integrated circuits. The main goal of the project was to understand bandgap reference design and limitations, and implement a bandgap reference circuit in a 90nm CMOS technology using CADENCE.</span></div></div><div class="" id=":R5pipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5pipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-14" aria-haspopup="dialog" aria-controls=":R5tipkf6:" popovertarget=":R5tipkf6:" style="anchor-name:--popover-R5tipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-designfabrication/49132602"><span class="sr-only">VLSI Design(Fabrication)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:14" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="VLSI Design(Fabrication)" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsi2-150608165741-lva1-app6891-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="VLSI Design(Fabrication)" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsi2-150608165741-lva1-app6891-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">VLSI Design(Fabrication)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save VLSI Design(Fabrication) for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dltipkf6:" popovertarget=":R1dltipkf6:" style="anchor-name:--popover-R1dltipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dltipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dltipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/TrijitMallick">Trijit Mallick</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">this ppt provides fabrication on SI wafer, CMOS fabrication process, basic CMOS inverter, future, application, advantage, disadvantage etc.</span></div></div><div class="" id=":R5tipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5tipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-15" aria-haspopup="dialog" aria-controls=":R61ipkf6:" popovertarget=":R61ipkf6:" style="anchor-name:--popover-R61ipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/short-channel-effects-115332110/115332110"><span class="sr-only">Short channel effects</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:15" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Short channel effects" src="https://cdn.slidesharecdn.com/ss_thumbnails/shortchanneleffects-180919050414-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Short channel effects" src="https://cdn.slidesharecdn.com/ss_thumbnails/shortchanneleffects-180919050414-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Short channel effects</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Short channel effects for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dm1ipkf6:" popovertarget=":R1dm1ipkf6:" style="anchor-name:--popover-R1dm1ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dm1ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dm1ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/aditiagrawal97">aditiagrawal97</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses short channel effects that occur in MOSFET devices when the channel length decreases to the same order of magnitude as the source/drain junction depth. It describes five main short channel effects: drain induced barrier lowering, drain punch through, velocity saturation, impact ionization, and hot electron effects. For each effect, it provides an explanation of the physical phenomenon and how it impacts device performance as the channel length decreases. It concludes by listing three references for further reading on leakage current mechanisms and MOSFET modeling.</span></div></div><div class="" id=":R61ipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R61ipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-16" aria-haspopup="dialog" aria-controls=":R65ipkf6:" popovertarget=":R65ipkf6:" style="anchor-name:--popover-R65ipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/finfet-technology/227466347"><span class="sr-only">Finfet Technology</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:16" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Finfet Technology" src="https://cdn.slidesharecdn.com/ss_thumbnails/17304013-navya-200209170047-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Finfet Technology" src="https://cdn.slidesharecdn.com/ss_thumbnails/17304013-navya-200209170047-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Finfet Technology</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Finfet Technology for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dm5ipkf6:" popovertarget=":R1dm5ipkf6:" style="anchor-name:--popover-R1dm5ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dm5ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dm5ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/SrinivasVasamsetti2">Srinivas Vasamsetti </a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses FinFET technology. It begins with an introduction to FinFETs, explaining that they are a type of double-gate CMOS that offers advantages over traditional CMOS for scaling to short gate lengths. It then discusses why FinFET technology is needed as traditional CMOS scaling faces challenges from subthreshold and gate leakage. It provides details on double-gate FET structure and operation, including how it controls short-channel effects better than single-gate FETs. It also covers FinFET features, applications, challenges and concludes that FinFETs can help continue CMOS scaling if key issues like fin patterning and gate work functions are addressed.</span></div></div><div class="" id=":R65ipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R65ipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-17" aria-haspopup="dialog" aria-controls=":R69ipkf6:" popovertarget=":R69ipkf6:" style="anchor-name:--popover-R69ipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-2/11350708"><span class="sr-only">Vlsi 2</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:17" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Vlsi 2" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsi2-120131080155-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Vlsi 2" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsi2-120131080155-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Vlsi 2</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Vlsi 2 for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dm9ipkf6:" popovertarget=":R1dm9ipkf6:" style="anchor-name:--popover-R1dm9ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dm9ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dm9ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/idris01111">idris01111</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document describes the key steps in CMOS fabrication: 1. A p-type substrate is used, and n-well regions are defined through implantation to create isolation for p-MOSFETs. 2. Active device regions and field oxide isolation are then patterned, followed by gate oxide growth and polysilicon deposition. 3. Self-aligned implantation creates n-type and p-type source/drain regions. Additional metallization layers connect the transistors.</span></div></div><div class="" id=":R69ipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R69ipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-18" aria-haspopup="dialog" aria-controls=":R6dipkf6:" popovertarget=":R6dipkf6:" style="anchor-name:--popover-R6dipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/powerplanning/16883536"><span class="sr-only">Powerplanning</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:18" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Powerplanning" src="https://cdn.slidesharecdn.com/ss_thumbnails/powerplanning-130302112400-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Powerplanning" src="https://cdn.slidesharecdn.com/ss_thumbnails/powerplanning-130302112400-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Powerplanning</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Powerplanning for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dmdipkf6:" popovertarget=":R1dmdipkf6:" style="anchor-name:--popover-R1dmdipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dmdipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dmdipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">https://www.udemy.com/vlsi-academy Usually, while drawing any circuit on paper, we have only one &#x27;vdd&#x27; at the top and one &#x27;vss&#x27; at the bottom. But on a chip, it becomes necessary to have a grid structure of power, with more than one &#x27;vdd&#x27; and &#x27;vss&#x27;. The concept of power grid structure would be uploaded soon. It is actually the scaling trend that drives chip designers for power grid structure. </span></div></div><div class="" id=":R6dipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R6dipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-19" aria-haspopup="dialog" aria-controls=":R6hipkf6:" popovertarget=":R6hipkf6:" style="anchor-name:--popover-R6hipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/cmos-fabrication-71314286/71314286"><span class="sr-only">Cmos fabrication</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:19" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Cmos fabrication" src="https://cdn.slidesharecdn.com/ss_thumbnails/cmosfabrication-170124042413-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Cmos fabrication" src="https://cdn.slidesharecdn.com/ss_thumbnails/cmosfabrication-170124042413-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Cmos fabrication</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Cmos fabrication for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dmhipkf6:" popovertarget=":R1dmhipkf6:" style="anchor-name:--popover-R1dmhipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dmhipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dmhipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/KANAGARAJT4">KANAGARAJ T</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document summarizes the basic steps in CMOS fabrication: (1) dopants are diffused or implanted into a silicon wafer to form n-well and p-substrate regions; (2) a gate oxide and polysilicon layer are deposited and patterned to form transistors; (3) n+ and p+ diffusion regions are implanted or diffused to form sources, drains and contacts; (4) a metallization process deposits aluminum wires to connect the transistors together into circuits. The process involves repeatedly depositing materials and using lithography to pattern layers from the bottom up on the silicon wafer.</span></div></div><div class="" id=":R6hipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R6hipkf6"></div></div><div class="desktop-recs"><div class="Slider_root__c0Jo8"><div class="Slider_scroller__KHjw4"><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/matching-concept-in-microelectronics/237111064"><span class="sr-only">Matching concept in Microelectronics</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:0" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Matching concept in Microelectronics" src="https://cdn.slidesharecdn.com/ss_thumbnails/microelectronicsmatchingpresentation3matchingied3030harishivem177319-200721134926-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Matching concept in Microelectronics" src="https://cdn.slidesharecdn.com/ss_thumbnails/microelectronicsmatchingpresentation3matchingied3030harishivem177319-200721134926-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Matching concept in Microelectronics</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Matching concept in Microelectronics for later" role="switch" aria-haspopup="dialog" aria-controls=":R5gmipkf6:" popovertarget=":R5gmipkf6:" style="anchor-name:--popover-R5gmipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5gmipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5gmipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/HarishSingh8">Tallinn University of Technology</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/venkat1234_nxp/layout02-1"><span class="sr-only">Layout02 (1)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:1" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Layout02 (1)" src="https://cdn.slidesharecdn.com/ss_thumbnails/layout021-160229081601-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Layout02 (1)" src="https://cdn.slidesharecdn.com/ss_thumbnails/layout021-160229081601-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Layout02 (1)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Layout02 (1) for later" role="switch" aria-haspopup="dialog" aria-controls=":R5h6ipkf6:" popovertarget=":R5h6ipkf6:" style="anchor-name:--popover-R5h6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5h6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5h6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/venkat1234_nxp">venkat1234_nxp</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/latch-up/26735030"><span class="sr-only">Latch up</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:2" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Latch up" src="https://cdn.slidesharecdn.com/ss_thumbnails/latch-up-131001053300-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Latch up" src="https://cdn.slidesharecdn.com/ss_thumbnails/latch-up-131001053300-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Latch up</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Latch up for later" role="switch" aria-haspopup="dialog" aria-controls=":R5hmipkf6:" popovertarget=":R5hmipkf6:" style="anchor-name:--popover-R5hmipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5hmipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5hmipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ishan111">ishan111</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/ic-technology/59364472"><span class="sr-only">IC Technology </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:3" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="IC Technology " src="https://cdn.slidesharecdn.com/ss_thumbnails/ictechnologysachin-160310103932-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="IC Technology " src="https://cdn.slidesharecdn.com/ss_thumbnails/ictechnologysachin-160310103932-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">IC Technology </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save IC Technology for later" role="switch" aria-haspopup="dialog" aria-controls=":R5i6ipkf6:" popovertarget=":R5i6ipkf6:" style="anchor-name:--popover-R5i6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5i6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5i6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/sdpable">sdpable</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/ic-tech-unit-5-vlsi-process-integration/70256121"><span class="sr-only">Ic tech unit 5- VLSI Process Integration</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:4" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Ic tech unit 5- VLSI Process Integration" src="https://cdn.slidesharecdn.com/ss_thumbnails/ictechunit5-161219055549-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Ic tech unit 5- VLSI Process Integration" src="https://cdn.slidesharecdn.com/ss_thumbnails/ictechunit5-161219055549-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Ic tech unit 5- VLSI Process Integration</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Ic tech unit 5- VLSI Process Integration for later" role="switch" aria-haspopup="dialog" aria-controls=":R5imipkf6:" popovertarget=":R5imipkf6:" style="anchor-name:--popover-R5imipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5imipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5imipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/kritickasharma">kriticka sharma</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/mosfet-soifet-and-finfet/236290962"><span class="sr-only">MOSFET, SOI-FET and FIN-FET-ABU SYED KUET</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:5" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="MOSFET, SOI-FET and FIN-FET-ABU SYED KUET" src="https://cdn.slidesharecdn.com/ss_thumbnails/mosfetsoi-fetandfinfet-200627074207-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="MOSFET, SOI-FET and FIN-FET-ABU SYED KUET" src="https://cdn.slidesharecdn.com/ss_thumbnails/mosfetsoi-fetandfinfet-200627074207-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">MOSFET, SOI-FET and FIN-FET-ABU SYED KUET</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save MOSFET, SOI-FET and FIN-FET-ABU SYED KUET for later" role="switch" aria-haspopup="dialog" aria-controls=":R5j6ipkf6:" popovertarget=":R5j6ipkf6:" style="anchor-name:--popover-R5j6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5j6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5j6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/syedsumon">A. S. M. Jannatul Islam</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/second-order-effects/89683801"><span class="sr-only">Second order effects</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:6" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Second order effects" src="https://cdn.slidesharecdn.com/ss_thumbnails/secondordereffects-180305173314-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Second order effects" src="https://cdn.slidesharecdn.com/ss_thumbnails/secondordereffects-180305173314-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Second order effects</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Second order effects for later" role="switch" aria-haspopup="dialog" aria-controls=":R5jmipkf6:" popovertarget=":R5jmipkf6:" style="anchor-name:--popover-R5jmipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5jmipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5jmipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/rppvch">PRAVEEN KUMAR CHITLURI</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/lightly-doped-drain/79861534"><span class="sr-only">Lightly Doped Drain</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:7" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Lightly Doped Drain" src="https://cdn.slidesharecdn.com/ss_thumbnails/ldd-170917150611-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Lightly Doped Drain" src="https://cdn.slidesharecdn.com/ss_thumbnails/ldd-170917150611-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Lightly Doped Drain</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Lightly Doped Drain for later" role="switch" aria-haspopup="dialog" aria-controls=":R5k6ipkf6:" popovertarget=":R5k6ipkf6:" style="anchor-name:--popover-R5k6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5k6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5k6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/shudhanshu29">Sudhanshu Janwadkar</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/subhradeeptoton/crosstalk-minimisation-using-vlsi-26184039"><span class="sr-only">crosstalk minimisation using vlsi</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:8" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="crosstalk minimisation using vlsi" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsialmostfinal-130913214006-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="crosstalk minimisation using vlsi" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsialmostfinal-130913214006-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">crosstalk minimisation using vlsi</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save crosstalk minimisation using vlsi for later" role="switch" aria-haspopup="dialog" aria-controls=":R5kmipkf6:" popovertarget=":R5kmipkf6:" style="anchor-name:--popover-R5kmipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5kmipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5kmipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/subhradeeptoton">subhradeep mitra</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/mosfet-124149811/124149811"><span class="sr-only">Mosfet</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:9" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Mosfet" src="https://cdn.slidesharecdn.com/ss_thumbnails/mosfet-181127115058-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Mosfet" src="https://cdn.slidesharecdn.com/ss_thumbnails/mosfet-181127115058-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Mosfet</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Mosfet for later" role="switch" aria-haspopup="dialog" aria-controls=":R5l6ipkf6:" popovertarget=":R5l6ipkf6:" style="anchor-name:--popover-R5l6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5l6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5l6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/sarunkutti">sarunkutti</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/bandgap-ppt/57274756"><span class="sr-only">bandgap ppt</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:10" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="bandgap ppt" src="https://cdn.slidesharecdn.com/ss_thumbnails/79768869-c307-4439-87aa-81b230495570-160120124422-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="bandgap ppt" src="https://cdn.slidesharecdn.com/ss_thumbnails/79768869-c307-4439-87aa-81b230495570-160120124422-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">bandgap ppt</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save bandgap ppt for later" role="switch" aria-haspopup="dialog" aria-controls=":R5lmipkf6:" popovertarget=":R5lmipkf6:" style="anchor-name:--popover-R5lmipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5lmipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5lmipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/lingadharreddy">lingadhar reddy</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-design-and-fabrication-ppt/47160694"><span class="sr-only">Vlsi design and fabrication ppt</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:11" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Vlsi design and fabrication ppt" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsidesignandfabricationppt-150419062609-conversion-gate01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Vlsi design and fabrication ppt" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsidesignandfabricationppt-150419062609-conversion-gate01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Vlsi design and fabrication ppt</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Vlsi design and fabrication ppt for later" role="switch" aria-haspopup="dialog" aria-controls=":R5m6ipkf6:" popovertarget=":R5m6ipkf6:" style="anchor-name:--popover-R5m6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5m6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5m6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/manjushreemm">Manjushree Mashal</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/introduction-to-finfet-89025450/89025450"><span class="sr-only">Introduction to FinFET</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:12" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Introduction to FinFET" src="https://cdn.slidesharecdn.com/ss_thumbnails/introductiontofinfet-180227020253-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Introduction to FinFET" src="https://cdn.slidesharecdn.com/ss_thumbnails/introductiontofinfet-180227020253-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Introduction to FinFET</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Introduction to FinFET for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mmipkf6:" popovertarget=":R5mmipkf6:" style="anchor-name:--popover-R5mmipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mmipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mmipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ManishKenchi">ManishKenchi</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/bgr-57274715/57274715"><span class="sr-only">BGR</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:13" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="BGR" src="https://cdn.slidesharecdn.com/ss_thumbnails/fe67e1bd-c993-4e70-9b81-ab711473d201-160120124327-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="BGR" src="https://cdn.slidesharecdn.com/ss_thumbnails/fe67e1bd-c993-4e70-9b81-ab711473d201-160120124327-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">BGR</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save BGR for later" role="switch" aria-haspopup="dialog" aria-controls=":R5n6ipkf6:" popovertarget=":R5n6ipkf6:" style="anchor-name:--popover-R5n6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5n6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5n6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/lingadharreddy">lingadhar reddy</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-designfabrication/49132602"><span class="sr-only">VLSI Design(Fabrication)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:14" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="VLSI Design(Fabrication)" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsi2-150608165741-lva1-app6891-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="VLSI Design(Fabrication)" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsi2-150608165741-lva1-app6891-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">VLSI Design(Fabrication)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save VLSI Design(Fabrication) for later" role="switch" aria-haspopup="dialog" aria-controls=":R5nmipkf6:" popovertarget=":R5nmipkf6:" style="anchor-name:--popover-R5nmipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5nmipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5nmipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/TrijitMallick">Trijit Mallick</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/short-channel-effects-115332110/115332110"><span class="sr-only">Short channel effects</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:15" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Short channel effects" src="https://cdn.slidesharecdn.com/ss_thumbnails/shortchanneleffects-180919050414-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Short channel effects" src="https://cdn.slidesharecdn.com/ss_thumbnails/shortchanneleffects-180919050414-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Short channel effects</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Short channel effects for later" role="switch" aria-haspopup="dialog" aria-controls=":R5o6ipkf6:" popovertarget=":R5o6ipkf6:" style="anchor-name:--popover-R5o6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5o6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5o6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/aditiagrawal97">aditiagrawal97</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/finfet-technology/227466347"><span class="sr-only">Finfet Technology</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:16" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Finfet Technology" src="https://cdn.slidesharecdn.com/ss_thumbnails/17304013-navya-200209170047-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Finfet Technology" src="https://cdn.slidesharecdn.com/ss_thumbnails/17304013-navya-200209170047-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Finfet Technology</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Finfet Technology for later" role="switch" aria-haspopup="dialog" aria-controls=":R5omipkf6:" popovertarget=":R5omipkf6:" style="anchor-name:--popover-R5omipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5omipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5omipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/SrinivasVasamsetti2">Srinivas Vasamsetti </a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-2/11350708"><span class="sr-only">Vlsi 2</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:17" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Vlsi 2" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsi2-120131080155-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Vlsi 2" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsi2-120131080155-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Vlsi 2</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Vlsi 2 for later" role="switch" aria-haspopup="dialog" aria-controls=":R5p6ipkf6:" popovertarget=":R5p6ipkf6:" style="anchor-name:--popover-R5p6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5p6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5p6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/idris01111">idris01111</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/powerplanning/16883536"><span class="sr-only">Powerplanning</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:18" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Powerplanning" src="https://cdn.slidesharecdn.com/ss_thumbnails/powerplanning-130302112400-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Powerplanning" src="https://cdn.slidesharecdn.com/ss_thumbnails/powerplanning-130302112400-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Powerplanning</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Powerplanning for later" role="switch" aria-haspopup="dialog" aria-controls=":R5pmipkf6:" popovertarget=":R5pmipkf6:" style="anchor-name:--popover-R5pmipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5pmipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5pmipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/cmos-fabrication-71314286/71314286"><span class="sr-only">Cmos fabrication</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:19" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Cmos fabrication" src="https://cdn.slidesharecdn.com/ss_thumbnails/cmosfabrication-170124042413-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Cmos fabrication" src="https://cdn.slidesharecdn.com/ss_thumbnails/cmosfabrication-170124042413-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Cmos fabrication</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Cmos fabrication for later" role="switch" aria-haspopup="dialog" aria-controls=":R5q6ipkf6:" popovertarget=":R5q6ipkf6:" style="anchor-name:--popover-R5q6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5q6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5q6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/KANAGARAJT4">KANAGARAJ T</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div></div><button aria-label="Previous" type="button" class="Slider_arrow__8LCca Slider_prev__YMssa Slider_hidden__rs7nK"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/chevron-left.50b146c0.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><button aria-label="Next" type="button" class="Slider_arrow__8LCca Slider_next__fa9IO"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/chevron-right.9b30ad08.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button></div></div></div></div><div class="bottom-recs BottomRecommendation_root__7aU9w"><h3 class="BottomRecommendation_title__SRj68">Viewers also liked<!-- --> <span class="BottomRecommendation_count__4HpLo">(<!-- -->19<!-- -->)</span></h3><div class="BottomRecommendationContent_root__2qm4_"><div class="mobile-recs"><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-0" aria-haspopup="dialog" aria-controls=":R45j9kf6:" popovertarget=":R45j9kf6:" style="anchor-name:--popover-R45j9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/concept-note-env-laboratory-infrastructureppp-min-of-env-48790598/48790598"><span class="sr-only">Concept note env laboratory infrastructure-ppp min of env</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:0" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Concept note env laboratory infrastructure-ppp min of env" src="https://cdn.slidesharecdn.com/ss_thumbnails/conceptnote-envlaboratoryinfrastructure-pppminofenv-150530173651-lva1-app6892-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Concept note env laboratory infrastructure-ppp min of env" src="https://cdn.slidesharecdn.com/ss_thumbnails/conceptnote-envlaboratoryinfrastructure-pppminofenv-150530173651-lva1-app6892-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Concept note env laboratory infrastructure-ppp min of env</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Concept note env laboratory infrastructure-ppp min of env for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dk5j9kf6:" popovertarget=":R1dk5j9kf6:" style="anchor-name:--popover-R1dk5j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dk5j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dk5j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/canopyg">canopyg</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The role of Environmental Analytical Laboratories in Environmental Management and Sustainable Development</span></div></div><div class="" id=":R45j9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R45j9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-1" aria-haspopup="dialog" aria-controls=":R49j9kf6:" popovertarget=":R49j9kf6:" style="anchor-name:--popover-R49j9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/responsiv-design-wordcampcph-14/35094976"><span class="sr-only">Responsiv Design, WordCampCPH 14</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:1" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Responsiv Design, WordCampCPH 14" src="https://cdn.slidesharecdn.com/ss_thumbnails/wordcamp-14-140525071328-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Responsiv Design, WordCampCPH 14" src="https://cdn.slidesharecdn.com/ss_thumbnails/wordcamp-14-140525071328-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Responsiv Design, WordCampCPH 14</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Responsiv Design, WordCampCPH 14 for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dk9j9kf6:" popovertarget=":R1dk9j9kf6:" style="anchor-name:--popover-R1dk9j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dk9j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dk9j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/CathrineFallesen">Anne Cathrine Wind Fallesen</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Danish speak on the concept of responsive webdesign, co</span></div></div><div class="" id=":R49j9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R49j9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-2" aria-haspopup="dialog" aria-controls=":R4dj9kf6:" popovertarget=":R4dj9kf6:" style="anchor-name:--popover-R4dj9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/unit-6-anthony-tucci-66562383/66562383"><span class="sr-only">Unit 6 Anthony Tucci</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:2" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Unit 6 Anthony Tucci" src="https://cdn.slidesharecdn.com/ss_thumbnails/a15fa6cf-c0c3-4ee3-bf15-9cd9f15c4973-160929145411-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Unit 6 Anthony Tucci" src="https://cdn.slidesharecdn.com/ss_thumbnails/a15fa6cf-c0c3-4ee3-bf15-9cd9f15c4973-160929145411-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Unit 6 Anthony Tucci</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Unit 6 Anthony Tucci for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkdj9kf6:" popovertarget=":R1dkdj9kf6:" style="anchor-name:--popover-R1dkdj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkdj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkdj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AnthonyTucci3">Anthony Tucci</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document proposes solutions to improve awareness of McDonald&#x27;s tuition assistance program called Archways. It recommends (1) enhancing in-store flyers and posters to specify who is eligible and how much assistance is provided, and (2) marketing the program on drive-thru menu boards which 70% of customers see, as this could increase both employee benefits and McDonald&#x27;s profits. Background information establishes that full-time employees are currently aware of Archways but more promotion is needed as tuition assistance programs have proven successful for other companies like UPS.</span></div></div><div class="" id=":R4dj9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4dj9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-3" aria-haspopup="dialog" aria-controls=":R4hj9kf6:" popovertarget=":R4hj9kf6:" style="anchor-name:--popover-R4hj9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://es.slideshare.net/slideshow/comercio-66560792/66560792"><span class="sr-only">Comercio</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:3" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Comercio" src="https://cdn.slidesharecdn.com/ss_thumbnails/comercio-160929142410-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Comercio" src="https://cdn.slidesharecdn.com/ss_thumbnails/comercio-160929142410-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Comercio</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Comercio for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkhj9kf6:" popovertarget=":R1dkhj9kf6:" style="anchor-name:--popover-R1dkhj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkhj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkhj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/RoyPortillaCanales">Roy Portilla Canales</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">El documento habla sobre las ventas de servicios, los sistemas de pago en tiendas online y formas de promocionar una tienda virtual. Las ventas de servicios buscan convencer al cliente de que el servicio o producto resolverá su problema mediante estrategias de confianza, seguridad y garantía. Los sistemas de pago en tiendas online incluyen TPV virtuales, PayPal, transferencia bancaria y pago contra reembolso. Se recomienda promocionar la tienda virtual a través de posicionamiento en buscadores, publicidad online y offline</span></div></div><div class="" id=":R4hj9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4hj9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-4" aria-haspopup="dialog" aria-controls=":R4lj9kf6:" popovertarget=":R4lj9kf6:" style="anchor-name:--popover-R4lj9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/beautiful-mangsang-beach-and-seolaksan-2021-july-2014/38904563"><span class="sr-only">Beautiful Mang-Sang Beach and Seol-Ak-San 20-21 July 2014</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:4" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Beautiful Mang-Sang Beach and Seol-Ak-San 20-21 July 2014" src="https://cdn.slidesharecdn.com/ss_thumbnails/mang-sangbeachandseol-ak-san20-21july2014-140910011456-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Beautiful Mang-Sang Beach and Seol-Ak-San 20-21 July 2014" src="https://cdn.slidesharecdn.com/ss_thumbnails/mang-sangbeachandseol-ak-san20-21july2014-140910011456-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Beautiful Mang-Sang Beach and Seol-Ak-San 20-21 July 2014</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Beautiful Mang-Sang Beach and Seol-Ak-San 20-21 July 2014 for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dklj9kf6:" popovertarget=":R1dklj9kf6:" style="anchor-name:--popover-R1dklj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dklj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dklj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/sjleesj">QSRC NITA Dongguk</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Beautiful Mang-Sang Beach and Seol-Ak-San 20-21 July 2014 </span></div></div><div class="" id=":R4lj9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4lj9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-5" aria-haspopup="dialog" aria-controls=":R4pj9kf6:" popovertarget=":R4pj9kf6:" style="anchor-name:--popover-R4pj9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/brand-profile-2016email2/59873394"><span class="sr-only">Brand Profile 2016_email2</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:5" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Brand Profile 2016_email2" src="https://cdn.slidesharecdn.com/ss_thumbnails/aa022aa7-3ed8-4e91-803a-55db530222a2-160322095011-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Brand Profile 2016_email2" src="https://cdn.slidesharecdn.com/ss_thumbnails/aa022aa7-3ed8-4e91-803a-55db530222a2-160322095011-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Brand Profile 2016_email2</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Brand Profile 2016_email2 for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkpj9kf6:" popovertarget=":R1dkpj9kf6:" style="anchor-name:--popover-R1dkpj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkpj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkpj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/LyleJulius">Lyle Julius</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Africology is a leading natural skincare company based in South Africa that offers luxury natural and eco-friendly products. The brand is committed to using only 100% natural and biodegradable ingredients in its products and sustainable practices. It offers a full range of skincare products and spa treatments inspired by African wisdom and traditions. The company was founded by Renchia Droganis and has grown successfully both in South Africa and internationally while staying true to its holistic and ethical philosophy.</span></div></div><div class="" id=":R4pj9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4pj9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-6" aria-haspopup="dialog" aria-controls=":R4tj9kf6:" popovertarget=":R4tj9kf6:" style="anchor-name:--popover-R4tj9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://es.slideshare.net/slideshow/fisiopatologia-megacolon/64737578"><span class="sr-only">Fisiopatologia megacolon</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:6" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Fisiopatologia megacolon" src="https://cdn.slidesharecdn.com/ss_thumbnails/fisiopatologiamegacolon-160805154644-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Fisiopatologia megacolon" src="https://cdn.slidesharecdn.com/ss_thumbnails/fisiopatologiamegacolon-160805154644-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Fisiopatologia megacolon</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Fisiopatologia megacolon for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dktj9kf6:" popovertarget=":R1dktj9kf6:" style="anchor-name:--popover-R1dktj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dktj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dktj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/SharonQuimis">Sharon Quimis</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">La enfermedad de Hirschsprung causa que los neuroblastos primitivos no migren correctamente durante el desarrollo fetal, dejando un segmento del intestino sin ganglios nerviosos. Esto altera la motilidad intestinal y causa obstrucción, afectando comúnmente el colon sigmoides en un 75% de los casos. Las anomalías anatómicas en la inervación producen diversos grados de obstrucción funcional intestinal debido a la pérdida de la motilidad coordinada y la relajación eficiente del intestino.</span></div></div><div class="" id=":R4tj9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4tj9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-7" aria-haspopup="dialog" aria-controls=":R51j9kf6:" popovertarget=":R51j9kf6:" style="anchor-name:--popover-R51j9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/eu-rtbf-criteria/42224251"><span class="sr-only">Eu rtbf criteria</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:7" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Eu rtbf criteria" src="https://cdn.slidesharecdn.com/ss_thumbnails/eurtbfcriteria-141201111411-conversion-gate01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Eu rtbf criteria" src="https://cdn.slidesharecdn.com/ss_thumbnails/eurtbfcriteria-141201111411-conversion-gate01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Eu rtbf criteria</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Eu rtbf criteria for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dl1j9kf6:" popovertarget=":R1dl1j9kf6:" style="anchor-name:--popover-R1dl1j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dl1j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dl1j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/gesterling">Greg Sterling</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document provides guidelines for implementing a European Court of Justice ruling regarding a person&#x27;s right to request removal of search engine links to personal information. It summarizes that search engines are data controllers subject to EU data protection law. When a search is done by name, the search engine processing can significantly affect privacy rights by enabling users to compile an extensive profile about a person. The rights of the data subject generally take priority over the economic interests of the search engine or public&#x27;s interest in the information. National data protection authorities will consider various criteria on a case-by-case basis when evaluating removal requests.</span></div></div><div class="" id=":R51j9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R51j9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-8" aria-haspopup="dialog" aria-controls=":R55j9kf6:" popovertarget=":R55j9kf6:" style="anchor-name:--popover-R55j9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/torontomagazinefooddrinkissue-62917413/62917413"><span class="sr-only">toronto-magazine-food-drink-issue</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:8" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="toronto-magazine-food-drink-issue" src="https://cdn.slidesharecdn.com/ss_thumbnails/0a00ef9f-e82a-4d91-9bc8-5f0d0488e793-160610031806-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="toronto-magazine-food-drink-issue" src="https://cdn.slidesharecdn.com/ss_thumbnails/0a00ef9f-e82a-4d91-9bc8-5f0d0488e793-160610031806-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">toronto-magazine-food-drink-issue</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save toronto-magazine-food-drink-issue for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dl5j9kf6:" popovertarget=":R1dl5j9kf6:" style="anchor-name:--popover-R1dl5j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dl5j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dl5j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/YukiHayashi5">Yuki Hayashi</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document is a magazine article promoting Toronto&#x27;s food and drink scene. It provides information on various culinary festivals in Toronto including Taste of Toronto, Toronto Food &amp; Wine Festival, Summerlicious, Toronto Beer Week, and the Gourmet Food &amp; Wine Expo. It also profiles the city&#x27;s thriving brunch culture and provides recommendations for top brunch spots such as Mildred&#x27;s Temple Kitchen, Rose and Sons, Saving Grace, and Lady Marmalade. The article highlights Toronto&#x27;s growing maple water trend and includes a recipe for maple coffee. It concludes by sharing chef Christina Tosi&#x27;s favorite Toronto restaurants - Dumpling House and Buca.</span></div></div><div class="" id=":R55j9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R55j9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-9" aria-haspopup="dialog" aria-controls=":R59j9kf6:" popovertarget=":R59j9kf6:" style="anchor-name:--popover-R59j9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/p2-colem/9856858"><span class="sr-only">P2 colem</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:9" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="P2 colem" src="https://cdn.slidesharecdn.com/ss_thumbnails/p2colem-111024080924-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="P2 colem" src="https://cdn.slidesharecdn.com/ss_thumbnails/p2colem-111024080924-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">P2 colem</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save P2 colem for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dl9j9kf6:" popovertarget=":R1dl9j9kf6:" style="anchor-name:--popover-R1dl9j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dl9j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dl9j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/worldcultures6">worldcultures6</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document describes various Mayan-themed products for sale, including a luxury bed modeled after those of Mayan kings, a shower faucet inspired by the rain god Chac, herbal medicine based on the goddess Ix Chel, and a toy bird that mimics the real quetzal. Prices range from $13.99 for a pocket calendar to $5,000 for a jewelry-encrusted good luck charm. The products are aimed at commemorating Mayan culture and mythology.</span></div></div><div class="" id=":R59j9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R59j9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-10"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/2016-sfu-pregame/66818865"><span class="sr-only">2016 SFU Pre-Game</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:10" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="2016 SFU Pre-Game" src="https://cdn.slidesharecdn.com/ss_thumbnails/5cee643a-ea4a-4e59-8d11-773470aa25b1-161006170522-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="2016 SFU Pre-Game" src="https://cdn.slidesharecdn.com/ss_thumbnails/5cee643a-ea4a-4e59-8d11-773470aa25b1-161006170522-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">2016 SFU Pre-Game</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save 2016 SFU Pre-Game for later" role="switch" aria-haspopup="dialog" aria-controls=":R5ldj9kf6:" popovertarget=":R5ldj9kf6:" style="anchor-name:--popover-R5ldj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5ldj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5ldj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/BrianHarris51">Brian Harris</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only"></span></div></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-11" aria-haspopup="dialog" aria-controls=":R5hj9kf6:" popovertarget=":R5hj9kf6:" style="anchor-name:--popover-R5hj9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/casey-shane-pcpoweek4finalpppvisuals/63301200"><span class="sr-only">Casey shane pcp-o_week4_final_ppp_visuals</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:11" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Casey shane pcp-o_week4_final_ppp_visuals" src="https://cdn.slidesharecdn.com/ss_thumbnails/caseyshanepcp-oweek4finalpppvisuals-160621162954-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Casey shane pcp-o_week4_final_ppp_visuals" src="https://cdn.slidesharecdn.com/ss_thumbnails/caseyshanepcp-oweek4finalpppvisuals-160621162954-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Casey shane pcp-o_week4_final_ppp_visuals</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Casey shane pcp-o_week4_final_ppp_visuals for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dlhj9kf6:" popovertarget=":R1dlhj9kf6:" style="anchor-name:--popover-R1dlhj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dlhj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dlhj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ShaneCasey3">Shane Casey</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document is a collection of photos with attribution credits. Most of the photos are credited to various photographers on Flickr and have Creative Commons licenses attached. There are also a few photos credited to Shane Casey without additional details provided. The document also includes one quote from Shigeru Miyamoto about players being artists within games.</span></div></div><div class="" id=":R5hj9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5hj9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-12" aria-haspopup="dialog" aria-controls=":R5lj9kf6:" popovertarget=":R5lj9kf6:" style="anchor-name:--popover-R5lj9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/affordable-care-act-presentation-for-state-library-of-ohio/25716080"><span class="sr-only">Affordable Care Act Presentation for State Library of Ohio</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:12" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Affordable Care Act Presentation for State Library of Ohio" src="https://cdn.slidesharecdn.com/ss_thumbnails/acapresentationforstatelibrarywaudio-130829073823-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Affordable Care Act Presentation for State Library of Ohio" src="https://cdn.slidesharecdn.com/ss_thumbnails/acapresentationforstatelibrarywaudio-130829073823-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Affordable Care Act Presentation for State Library of Ohio</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Affordable Care Act Presentation for State Library of Ohio for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dllj9kf6:" popovertarget=":R1dllj9kf6:" style="anchor-name:--popover-R1dllj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dllj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dllj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/DonBoozer">Don Boozer</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses considerations for librarians assisting patrons with implementation of the Affordable Care Act. It emphasizes the importance of protecting patron privacy, having difficult yet tactful conversations, and knowing where to draw the line in terms of advice. Librarians should familiarize themselves with ACA resources, maintain patron confidentiality, and approach questions with common sense, discretion and sensitivity. The goal is to provide authoritative information to patrons while observing ethical standards of privacy and neutrality.</span></div></div><div class="" id=":R5lj9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5lj9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-13" aria-haspopup="dialog" aria-controls=":R5pj9kf6:" popovertarget=":R5pj9kf6:" style="anchor-name:--popover-R5pj9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/empire-marquee-catalog/66850424"><span class="sr-only">Empire marquee catalog</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:13" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Empire marquee catalog" src="https://cdn.slidesharecdn.com/ss_thumbnails/db539721-5810-417f-b89b-379b4386f9fa-161007094721-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Empire marquee catalog" src="https://cdn.slidesharecdn.com/ss_thumbnails/db539721-5810-417f-b89b-379b4386f9fa-161007094721-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Empire marquee catalog</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Empire marquee catalog for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dlpj9kf6:" popovertarget=":R1dlpj9kf6:" style="anchor-name:--popover-R1dlpj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dlpj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dlpj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/EmpireMarqueePvtLtd">Empire Marquee Pvt Ltd</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document provides contact information for Empire Marquee, a company that rents out tents and event equipment. It lists the company&#x27;s address, phone numbers, emails, and social media links. It then summarizes the types of tents and equipment available, including party tents, wedding tents, pagoda tents, gazebo tents, car parking shades, and event design and management services. The document emphasizes that Empire Marquee can provide the right size tent or equipment for events of any size.</span></div></div><div class="" id=":R5pj9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5pj9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-14" aria-haspopup="dialog" aria-controls=":R5tj9kf6:" popovertarget=":R5tj9kf6:" style="anchor-name:--popover-R5tj9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/abortion-quick-facts/5760112"><span class="sr-only">Abortion quick facts</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:14" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Abortion quick facts" src="https://cdn.slidesharecdn.com/ss_thumbnails/abortionquickfacts-101112171507-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Abortion quick facts" src="https://cdn.slidesharecdn.com/ss_thumbnails/abortionquickfacts-101112171507-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Abortion quick facts</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Abortion quick facts for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dltj9kf6:" popovertarget=":R1dltj9kf6:" style="anchor-name:--popover-R1dltj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dltj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dltj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ahmedbashu">Fakru Bashu</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">1. The document discusses several topics related to pregnancies resulting from rape and incest, including reliable estimates of such pregnancies, reasons why they result in lower rates of pregnancy, perspectives on allowing abortion in these cases, and testimony from victims. 2. Statistics on the percentage of abortions performed for rape and incest are provided, estimated to be 1.5% and 0.5% respectively. However, these numbers are disputed by some as not being based on reliable evidence. 3. Arguments presented against allowing abortion for rape and incest include that the unborn child is innocent and does not deserve to die for the crimes of the father, and that abortion can harm the emotional and psychological health of the woman. Vict</span></div></div><div class="" id=":R5tj9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5tj9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-15" aria-haspopup="dialog" aria-controls=":R61j9kf6:" popovertarget=":R61j9kf6:" style="anchor-name:--popover-R61j9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/1e-cp-house-refurbishment/5758924"><span class="sr-only">1E - CP House Refurbishment</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:15" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="1E - CP House Refurbishment" src="https://cdn.slidesharecdn.com/ss_thumbnails/1e-cphouserefurbishment-101112141706-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="1E - CP House Refurbishment" src="https://cdn.slidesharecdn.com/ss_thumbnails/1e-cphouserefurbishment-101112141706-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">1E - CP House Refurbishment</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save 1E - CP House Refurbishment for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dm1j9kf6:" popovertarget=":R1dm1j9kf6:" style="anchor-name:--popover-R1dm1j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dm1j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dm1j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/1eNews">1E: Software Lifecycle Automation</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Our UK offices have been in disarray for far too long while we’ve made adjustments, changes, and improvements to compliment our growing company! Our refurbishment is finally complete and we’re loving the improved space. We hope you love it, too!</span></div></div><div class="" id=":R61j9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R61j9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-16"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/pr-3013/16840385"><span class="sr-only">Pr агентства аиа 3013</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:16" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Pr агентства аиа 3013" src="https://cdn.slidesharecdn.com/ss_thumbnails/pr3013-130228131802-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Pr агентства аиа 3013" src="https://cdn.slidesharecdn.com/ss_thumbnails/pr3013-130228131802-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Pr агентства аиа 3013</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Pr агентства аиа 3013 for later" role="switch" aria-haspopup="dialog" aria-controls=":R5m5j9kf6:" popovertarget=":R5m5j9kf6:" style="anchor-name:--popover-R5m5j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5m5j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5m5j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/RedKedsagency">Red Keds</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only"></span></div></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-17" aria-haspopup="dialog" aria-controls=":R69j9kf6:" popovertarget=":R69j9kf6:" style="anchor-name:--popover-R69j9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/adameva/biblioteca-din-alexandria"><span class="sr-only">Biblioteca Din Alexandria</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:17" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Biblioteca Din Alexandria" src="https://cdn.slidesharecdn.com/ss_thumbnails/bibliotecadinalexandria-091226172732-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Biblioteca Din Alexandria" src="https://cdn.slidesharecdn.com/ss_thumbnails/bibliotecadinalexandria-091226172732-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Biblioteca Din Alexandria</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Biblioteca Din Alexandria for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dm9j9kf6:" popovertarget=":R1dm9j9kf6:" style="anchor-name:--popover-R1dm9j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dm9j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dm9j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/adameva">adam eva</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses the new senior vice president of the Alexandria Library. It instructs readers to turn on their speakers but provides no additional details about the new SVP or their responsibilities. The document contains repetitive blank lines that do not convey meaningful information.</span></div></div><div class="" id=":R69j9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R69j9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-18" aria-haspopup="dialog" aria-controls=":R6dj9kf6:" popovertarget=":R6dj9kf6:" style="anchor-name:--popover-R6dj9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/combicut-djnc-accessories/2029810"><span class="sr-only">Combicut DJ/NC Accessories</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:18" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Combicut DJ/NC Accessories" src="https://cdn.slidesharecdn.com/ss_thumbnails/djnccombicutaccessories-090921071606-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Combicut DJ/NC Accessories" src="https://cdn.slidesharecdn.com/ss_thumbnails/djnccombicutaccessories-090921071606-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Combicut DJ/NC Accessories</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Combicut DJ/NC Accessories for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dmdj9kf6:" popovertarget=":R1dmdj9kf6:" style="anchor-name:--popover-R1dmdj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dmdj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dmdj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/Breton_machines">Breton SpA</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">1. The document describes various accessories for waterjet cutting machines, including options for manual or motorized control of blade inclination, refrigeration systems, and worktop exchange systems. 2. An automatic worktop exchange system is described that allows preparing one slab for cutting while another is being processed, increasing efficiency. 3. A slab scanning system uses a digital camera and software to capture slab images and define outlines and defects to optimize cutting programs.</span></div></div><div class="" id=":R6dj9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R6dj9kf6"></div></div><div class="desktop-recs"><div class="Slider_root__c0Jo8"><div class="Slider_scroller__KHjw4"><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/concept-note-env-laboratory-infrastructureppp-min-of-env-48790598/48790598"><span class="sr-only">Concept note env laboratory infrastructure-ppp min of env</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:0" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Concept note env laboratory infrastructure-ppp min of env" src="https://cdn.slidesharecdn.com/ss_thumbnails/conceptnote-envlaboratoryinfrastructure-pppminofenv-150530173651-lva1-app6892-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Concept note env laboratory infrastructure-ppp min of env" src="https://cdn.slidesharecdn.com/ss_thumbnails/conceptnote-envlaboratoryinfrastructure-pppminofenv-150530173651-lva1-app6892-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Concept note env laboratory infrastructure-ppp min of env</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Concept note env laboratory infrastructure-ppp min of env for later" role="switch" aria-haspopup="dialog" aria-controls=":R5gmj9kf6:" popovertarget=":R5gmj9kf6:" style="anchor-name:--popover-R5gmj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5gmj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5gmj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/canopyg">canopyg</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/responsiv-design-wordcampcph-14/35094976"><span class="sr-only">Responsiv Design, WordCampCPH 14</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:1" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Responsiv Design, WordCampCPH 14" src="https://cdn.slidesharecdn.com/ss_thumbnails/wordcamp-14-140525071328-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Responsiv Design, WordCampCPH 14" src="https://cdn.slidesharecdn.com/ss_thumbnails/wordcamp-14-140525071328-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Responsiv Design, WordCampCPH 14</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Responsiv Design, WordCampCPH 14 for later" role="switch" aria-haspopup="dialog" aria-controls=":R5h6j9kf6:" popovertarget=":R5h6j9kf6:" style="anchor-name:--popover-R5h6j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5h6j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5h6j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/CathrineFallesen">Anne Cathrine Wind Fallesen</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/unit-6-anthony-tucci-66562383/66562383"><span class="sr-only">Unit 6 Anthony Tucci</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:2" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Unit 6 Anthony Tucci" src="https://cdn.slidesharecdn.com/ss_thumbnails/a15fa6cf-c0c3-4ee3-bf15-9cd9f15c4973-160929145411-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Unit 6 Anthony Tucci" src="https://cdn.slidesharecdn.com/ss_thumbnails/a15fa6cf-c0c3-4ee3-bf15-9cd9f15c4973-160929145411-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Unit 6 Anthony Tucci</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Unit 6 Anthony Tucci for later" role="switch" aria-haspopup="dialog" aria-controls=":R5hmj9kf6:" popovertarget=":R5hmj9kf6:" style="anchor-name:--popover-R5hmj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5hmj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5hmj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AnthonyTucci3">Anthony Tucci</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://es.slideshare.net/slideshow/comercio-66560792/66560792"><span class="sr-only">Comercio</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:3" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Comercio" src="https://cdn.slidesharecdn.com/ss_thumbnails/comercio-160929142410-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Comercio" src="https://cdn.slidesharecdn.com/ss_thumbnails/comercio-160929142410-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Comercio</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Comercio for later" role="switch" aria-haspopup="dialog" aria-controls=":R5i6j9kf6:" popovertarget=":R5i6j9kf6:" style="anchor-name:--popover-R5i6j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5i6j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5i6j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/RoyPortillaCanales">Roy Portilla Canales</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/beautiful-mangsang-beach-and-seolaksan-2021-july-2014/38904563"><span class="sr-only">Beautiful Mang-Sang Beach and Seol-Ak-San 20-21 July 2014</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:4" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Beautiful Mang-Sang Beach and Seol-Ak-San 20-21 July 2014" src="https://cdn.slidesharecdn.com/ss_thumbnails/mang-sangbeachandseol-ak-san20-21july2014-140910011456-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Beautiful Mang-Sang Beach and Seol-Ak-San 20-21 July 2014" src="https://cdn.slidesharecdn.com/ss_thumbnails/mang-sangbeachandseol-ak-san20-21july2014-140910011456-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Beautiful Mang-Sang Beach and Seol-Ak-San 20-21 July 2014</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Beautiful Mang-Sang Beach and Seol-Ak-San 20-21 July 2014 for later" role="switch" aria-haspopup="dialog" aria-controls=":R5imj9kf6:" popovertarget=":R5imj9kf6:" style="anchor-name:--popover-R5imj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5imj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5imj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/sjleesj">QSRC NITA Dongguk</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/brand-profile-2016email2/59873394"><span class="sr-only">Brand Profile 2016_email2</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:5" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Brand Profile 2016_email2" src="https://cdn.slidesharecdn.com/ss_thumbnails/aa022aa7-3ed8-4e91-803a-55db530222a2-160322095011-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Brand Profile 2016_email2" src="https://cdn.slidesharecdn.com/ss_thumbnails/aa022aa7-3ed8-4e91-803a-55db530222a2-160322095011-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Brand Profile 2016_email2</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Brand Profile 2016_email2 for later" role="switch" aria-haspopup="dialog" aria-controls=":R5j6j9kf6:" popovertarget=":R5j6j9kf6:" style="anchor-name:--popover-R5j6j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5j6j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5j6j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/LyleJulius">Lyle Julius</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://es.slideshare.net/slideshow/fisiopatologia-megacolon/64737578"><span class="sr-only">Fisiopatologia megacolon</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:6" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Fisiopatologia megacolon" src="https://cdn.slidesharecdn.com/ss_thumbnails/fisiopatologiamegacolon-160805154644-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Fisiopatologia megacolon" src="https://cdn.slidesharecdn.com/ss_thumbnails/fisiopatologiamegacolon-160805154644-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Fisiopatologia megacolon</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Fisiopatologia megacolon for later" role="switch" aria-haspopup="dialog" aria-controls=":R5jmj9kf6:" popovertarget=":R5jmj9kf6:" style="anchor-name:--popover-R5jmj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5jmj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5jmj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/SharonQuimis">Sharon Quimis</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/eu-rtbf-criteria/42224251"><span class="sr-only">Eu rtbf criteria</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:7" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Eu rtbf criteria" src="https://cdn.slidesharecdn.com/ss_thumbnails/eurtbfcriteria-141201111411-conversion-gate01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Eu rtbf criteria" src="https://cdn.slidesharecdn.com/ss_thumbnails/eurtbfcriteria-141201111411-conversion-gate01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Eu rtbf criteria</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Eu rtbf criteria for later" role="switch" aria-haspopup="dialog" aria-controls=":R5k6j9kf6:" popovertarget=":R5k6j9kf6:" style="anchor-name:--popover-R5k6j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5k6j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5k6j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/gesterling">Greg Sterling</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/torontomagazinefooddrinkissue-62917413/62917413"><span class="sr-only">toronto-magazine-food-drink-issue</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:8" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="toronto-magazine-food-drink-issue" src="https://cdn.slidesharecdn.com/ss_thumbnails/0a00ef9f-e82a-4d91-9bc8-5f0d0488e793-160610031806-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="toronto-magazine-food-drink-issue" src="https://cdn.slidesharecdn.com/ss_thumbnails/0a00ef9f-e82a-4d91-9bc8-5f0d0488e793-160610031806-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">toronto-magazine-food-drink-issue</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save toronto-magazine-food-drink-issue for later" role="switch" aria-haspopup="dialog" aria-controls=":R5kmj9kf6:" popovertarget=":R5kmj9kf6:" style="anchor-name:--popover-R5kmj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5kmj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5kmj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/YukiHayashi5">Yuki Hayashi</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/p2-colem/9856858"><span class="sr-only">P2 colem</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:9" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="P2 colem" src="https://cdn.slidesharecdn.com/ss_thumbnails/p2colem-111024080924-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="P2 colem" src="https://cdn.slidesharecdn.com/ss_thumbnails/p2colem-111024080924-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">P2 colem</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save P2 colem for later" role="switch" aria-haspopup="dialog" aria-controls=":R5l6j9kf6:" popovertarget=":R5l6j9kf6:" style="anchor-name:--popover-R5l6j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5l6j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5l6j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/worldcultures6">worldcultures6</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/2016-sfu-pregame/66818865"><span class="sr-only">2016 SFU Pre-Game</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:10" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="2016 SFU Pre-Game" src="https://cdn.slidesharecdn.com/ss_thumbnails/5cee643a-ea4a-4e59-8d11-773470aa25b1-161006170522-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="2016 SFU Pre-Game" src="https://cdn.slidesharecdn.com/ss_thumbnails/5cee643a-ea4a-4e59-8d11-773470aa25b1-161006170522-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">2016 SFU Pre-Game</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save 2016 SFU Pre-Game for later" role="switch" aria-haspopup="dialog" aria-controls=":R5lmj9kf6:" popovertarget=":R5lmj9kf6:" style="anchor-name:--popover-R5lmj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5lmj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5lmj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/BrianHarris51">Brian Harris</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/casey-shane-pcpoweek4finalpppvisuals/63301200"><span class="sr-only">Casey shane pcp-o_week4_final_ppp_visuals</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:11" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Casey shane pcp-o_week4_final_ppp_visuals" src="https://cdn.slidesharecdn.com/ss_thumbnails/caseyshanepcp-oweek4finalpppvisuals-160621162954-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Casey shane pcp-o_week4_final_ppp_visuals" src="https://cdn.slidesharecdn.com/ss_thumbnails/caseyshanepcp-oweek4finalpppvisuals-160621162954-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Casey shane pcp-o_week4_final_ppp_visuals</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Casey shane pcp-o_week4_final_ppp_visuals for later" role="switch" aria-haspopup="dialog" aria-controls=":R5m6j9kf6:" popovertarget=":R5m6j9kf6:" style="anchor-name:--popover-R5m6j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5m6j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5m6j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ShaneCasey3">Shane Casey</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/affordable-care-act-presentation-for-state-library-of-ohio/25716080"><span class="sr-only">Affordable Care Act Presentation for State Library of Ohio</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:12" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Affordable Care Act Presentation for State Library of Ohio" src="https://cdn.slidesharecdn.com/ss_thumbnails/acapresentationforstatelibrarywaudio-130829073823-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Affordable Care Act Presentation for State Library of Ohio" src="https://cdn.slidesharecdn.com/ss_thumbnails/acapresentationforstatelibrarywaudio-130829073823-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Affordable Care Act Presentation for State Library of Ohio</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Affordable Care Act Presentation for State Library of Ohio for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mmj9kf6:" popovertarget=":R5mmj9kf6:" style="anchor-name:--popover-R5mmj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mmj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mmj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/DonBoozer">Don Boozer</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/empire-marquee-catalog/66850424"><span class="sr-only">Empire marquee catalog</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:13" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Empire marquee catalog" src="https://cdn.slidesharecdn.com/ss_thumbnails/db539721-5810-417f-b89b-379b4386f9fa-161007094721-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Empire marquee catalog" src="https://cdn.slidesharecdn.com/ss_thumbnails/db539721-5810-417f-b89b-379b4386f9fa-161007094721-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Empire marquee catalog</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Empire marquee catalog for later" role="switch" aria-haspopup="dialog" aria-controls=":R5n6j9kf6:" popovertarget=":R5n6j9kf6:" style="anchor-name:--popover-R5n6j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5n6j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5n6j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/EmpireMarqueePvtLtd">Empire Marquee Pvt Ltd</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/abortion-quick-facts/5760112"><span class="sr-only">Abortion quick facts</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:14" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Abortion quick facts" src="https://cdn.slidesharecdn.com/ss_thumbnails/abortionquickfacts-101112171507-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Abortion quick facts" src="https://cdn.slidesharecdn.com/ss_thumbnails/abortionquickfacts-101112171507-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Abortion quick facts</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Abortion quick facts for later" role="switch" aria-haspopup="dialog" aria-controls=":R5nmj9kf6:" popovertarget=":R5nmj9kf6:" style="anchor-name:--popover-R5nmj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5nmj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5nmj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ahmedbashu">Fakru Bashu</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/1e-cp-house-refurbishment/5758924"><span class="sr-only">1E - CP House Refurbishment</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:15" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="1E - CP House Refurbishment" src="https://cdn.slidesharecdn.com/ss_thumbnails/1e-cphouserefurbishment-101112141706-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="1E - CP House Refurbishment" src="https://cdn.slidesharecdn.com/ss_thumbnails/1e-cphouserefurbishment-101112141706-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">1E - CP House Refurbishment</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save 1E - CP House Refurbishment for later" role="switch" aria-haspopup="dialog" aria-controls=":R5o6j9kf6:" popovertarget=":R5o6j9kf6:" style="anchor-name:--popover-R5o6j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5o6j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5o6j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/1eNews">1E: Software Lifecycle Automation</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/pr-3013/16840385"><span class="sr-only">Pr агентства аиа 3013</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:16" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Pr агентства аиа 3013" src="https://cdn.slidesharecdn.com/ss_thumbnails/pr3013-130228131802-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Pr агентства аиа 3013" src="https://cdn.slidesharecdn.com/ss_thumbnails/pr3013-130228131802-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Pr агентства аиа 3013</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Pr агентства аиа 3013 for later" role="switch" aria-haspopup="dialog" aria-controls=":R5omj9kf6:" popovertarget=":R5omj9kf6:" style="anchor-name:--popover-R5omj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5omj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5omj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/RedKedsagency">Red Keds</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/adameva/biblioteca-din-alexandria"><span class="sr-only">Biblioteca Din Alexandria</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:17" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Biblioteca Din Alexandria" src="https://cdn.slidesharecdn.com/ss_thumbnails/bibliotecadinalexandria-091226172732-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Biblioteca Din Alexandria" src="https://cdn.slidesharecdn.com/ss_thumbnails/bibliotecadinalexandria-091226172732-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Biblioteca Din Alexandria</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Biblioteca Din Alexandria for later" role="switch" aria-haspopup="dialog" aria-controls=":R5p6j9kf6:" popovertarget=":R5p6j9kf6:" style="anchor-name:--popover-R5p6j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5p6j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5p6j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/adameva">adam eva</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/combicut-djnc-accessories/2029810"><span class="sr-only">Combicut DJ/NC Accessories</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:18" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Combicut DJ/NC Accessories" src="https://cdn.slidesharecdn.com/ss_thumbnails/djnccombicutaccessories-090921071606-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Combicut DJ/NC Accessories" src="https://cdn.slidesharecdn.com/ss_thumbnails/djnccombicutaccessories-090921071606-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Combicut DJ/NC Accessories</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Combicut DJ/NC Accessories for later" role="switch" aria-haspopup="dialog" aria-controls=":R5pmj9kf6:" popovertarget=":R5pmj9kf6:" style="anchor-name:--popover-R5pmj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5pmj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5pmj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/Breton_machines">Breton SpA</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div></div><button aria-label="Previous" type="button" class="Slider_arrow__8LCca Slider_prev__YMssa Slider_hidden__rs7nK"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/chevron-left.50b146c0.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><button aria-label="Next" type="button" class="Slider_arrow__8LCca Slider_next__fa9IO"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/chevron-right.9b30ad08.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button></div></div></div></div><div id="between-recs-ad-1-container" class="freestar-ad-container FreestarAdContainer_root__qPPC_" style="--fallback-aspect-ratio:undefined / undefined" data-testid="freestar-ad-container"><div><div class="" id="between-recs-ad-1"></div></div></div><div class="bottom-recs BottomRecommendation_root__7aU9w"><h3 class="BottomRecommendation_title__SRj68">Similar to Nmi Presentation Sept 2007<!-- --> <span class="BottomRecommendation_count__4HpLo">(<!-- -->20<!-- -->)</span></h3><div class="BottomRecommendationContent_root__2qm4_"><div class="mobile-recs"><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-0" aria-haspopup="dialog" aria-controls=":R45jpkf6:" popovertarget=":R45jpkf6:" style="anchor-name:--popover-R45jpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/b-mos-transistor-fabrication-problem/16994087"><span class="sr-only">B )mos transistor fabrication problem</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:0" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="B )mos transistor fabrication problem" src="https://cdn.slidesharecdn.com/ss_thumbnails/bmostransistorfabricationproblem-130306231358-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="B )mos transistor fabrication problem" src="https://cdn.slidesharecdn.com/ss_thumbnails/bmostransistorfabricationproblem-130306231358-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">B )mos transistor fabrication problem</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save B )mos transistor fabrication problem for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dk5jpkf6:" popovertarget=":R1dk5jpkf6:" style="anchor-name:--popover-R1dk5jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dk5jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dk5jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/virussalona">Viruss Alona</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses CMOS transistor fabrication and scaling. It addresses two key problems with CMOS operation: latch-up and parasitic capacitance. Latch-up can permanently damage transistors, while parasitic capacitance limits high frequency performance. Methods to overcome these issues include latch-up protection circuits, increasing distances between wells/junctions, and partially disconnecting parasitic devices from ground terminals. CMOS technologies like P-well, N-well, and SOI were compared in terms of mitigating latch-up and parasitic capacitance. The document also covers MOS scaling theory and its impacts on circuit performance and power consumption over time as feature sizes decreased from submicron to deep submicron to nanotechnology levels.</span></div></div><div class="" id=":R45jpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R45jpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-1" aria-haspopup="dialog" aria-controls=":R49jpkf6:" popovertarget=":R49jpkf6:" style="anchor-name:--popover-R49jpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-interview-questions1/25943220"><span class="sr-only">Vlsi interview questions1</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:1" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Vlsi interview questions1" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsiinterviewquestions1-130905223534--thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Vlsi interview questions1" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsiinterviewquestions1-130905223534--thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Vlsi interview questions1</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Vlsi interview questions1 for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dk9jpkf6:" popovertarget=":R1dk9jpkf6:" style="anchor-name:--popover-R1dk9jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dk9jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dk9jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/sukeshprathap">SUKESH Prathap</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document contains interview questions and answers related to CMOS design. Some key topics covered include: 1. Latch-up and how it can permanently damage a device due to excessive current flow. 2. NAND gates are preferred over NOR gates in fabrication due to higher electron mobility and lower gate leakage in NAND structures. 3. Noise margin is the minimum amount of noise that can be allowed on the input without affecting the output.</span></div></div><div class="" id=":R49jpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R49jpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-2" aria-haspopup="dialog" aria-controls=":R4djpkf6:" popovertarget=":R4djpkf6:" style="anchor-name:--popover-R4djpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/cmos-vlsi-design/5328783"><span class="sr-only">CMOS VLSI design</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:2" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="CMOS VLSI design" src="https://cdn.slidesharecdn.com/ss_thumbnails/piyush-100930205645-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="CMOS VLSI design" src="https://cdn.slidesharecdn.com/ss_thumbnails/piyush-100930205645-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">CMOS VLSI design</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save CMOS VLSI design for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkdjpkf6:" popovertarget=":R1dkdjpkf6:" style="anchor-name:--popover-R1dkdjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkdjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkdjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/RajanKumar1">Rajan Kumar</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses CMOS VLSI design technology and future trends. It provides an overview of CMOS technology and basic MOSFET operation. It then discusses how nanotechnology and integrated tri-gate transistors can help address limitations of CMOS scaling by reducing feature sizes and parasitic leakage. The document concludes that continued CMOS scaling will eventually be limited and alternatives like nanotechnology may be needed to retain device characteristics at smaller sizes.</span></div></div><div class="" id=":R4djpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4djpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-3" aria-haspopup="dialog" aria-controls=":R4hjpkf6:" popovertarget=":R4hjpkf6:" style="anchor-name:--popover-R4hjpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/proximity-effect/47337922"><span class="sr-only">Proximity effect</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:3" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Proximity effect" src="https://cdn.slidesharecdn.com/ss_thumbnails/proximityeffect-150423103125-conversion-gate02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Proximity effect" src="https://cdn.slidesharecdn.com/ss_thumbnails/proximityeffect-150423103125-conversion-gate02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Proximity effect</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Proximity effect for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkhjpkf6:" popovertarget=":R1dkhjpkf6:" style="anchor-name:--popover-R1dkhjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkhjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkhjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/abhinaypotlabathini">Abhinay Potlabathini</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">theory about Facility Electrical Losses: Proximity Effect, Skin Effect, and Eddy Current Losses and calculations with full illustrations</span></div></div><div class="" id=":R4hjpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4hjpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-4" aria-haspopup="dialog" aria-controls=":R4ljpkf6:" popovertarget=":R4ljpkf6:" style="anchor-name:--popover-R4ljpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/my-vlsipptx/255536378"><span class="sr-only">My VLSI.pptx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:4" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="My VLSI.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/myvlsi-230126132649-ea1decc1-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="My VLSI.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/myvlsi-230126132649-ea1decc1-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">My VLSI.pptx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save My VLSI.pptx for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkljpkf6:" popovertarget=":R1dkljpkf6:" style="anchor-name:--popover-R1dkljpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkljpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkljpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/chiranjeevimuppala2">chiranjeevimuppala2</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document provides an overview of VLSI design and MOS transistors. It discusses the basic steps of IC fabrication for PMOS, NMOS, CMOS, and BiCMOS processes. It also covers MOS transistor switches, including the MOSFET, transmission gate, and pass transistor logic. The document then examines the basic electrical properties of MOS and BiCMOS circuits, such as threshold voltage, body effect, and Ids-Vds relationships. It provides details on SOI fabrication processes and compares CMOS to bipolar technologies.</span></div></div><div class="" id=":R4ljpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4ljpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-5" aria-haspopup="dialog" aria-controls=":R4pjpkf6:" popovertarget=":R4pjpkf6:" style="anchor-name:--popover-R4pjpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/analog-and-digital-circuit-design-in-65-nm-cmos-end-of-the-roaddocx/267038511"><span class="sr-only">Analog and digital circuit design in 65 nm CMOS end of the road.docx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:5" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Analog and digital circuit design in 65 nm CMOS end of the road.docx" src="https://cdn.slidesharecdn.com/ss_thumbnails/analoganddigitalcircuitdesignin65nmcmosendoftheroad-240331104703-89c6aae7-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Analog and digital circuit design in 65 nm CMOS end of the road.docx" src="https://cdn.slidesharecdn.com/ss_thumbnails/analoganddigitalcircuitdesignin65nmcmosendoftheroad-240331104703-89c6aae7-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Analog and digital circuit design in 65 nm CMOS end of the road.docx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Analog and digital circuit design in 65 nm CMOS end of the road.docx for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkpjpkf6:" popovertarget=":R1dkpjpkf6:" style="anchor-name:--popover-R1dkpjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkpjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkpjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ZHKhan15">ZHKhan15</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document summarizes challenges in analog and digital circuit design for 65nm CMOS technology. It discusses how leakage currents, process variability, and interconnect delays increase as technologies scale down, posing new problems. A panel of experts will discuss whether 65nm marks the &quot;end of the road&quot; for continued design benefits from technology scaling or if issues can be addressed.</span></div></div><div class="" id=":R4pjpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4pjpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-6" aria-haspopup="dialog" aria-controls=":R4tjpkf6:" popovertarget=":R4tjpkf6:" style="anchor-name:--popover-R4tjpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/w04406104107/35249814"><span class="sr-only">W04406104107</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:6" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="W04406104107" src="https://cdn.slidesharecdn.com/ss_thumbnails/w04406104107-140529023127-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="W04406104107" src="https://cdn.slidesharecdn.com/ss_thumbnails/w04406104107-140529023127-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">W04406104107</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save W04406104107 for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dktjpkf6:" popovertarget=":R1dktjpkf6:" style="anchor-name:--popover-R1dktjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dktjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dktjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ijeraeditor">IJERA Editor</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology &amp; science, Power Electronics, Electronics &amp; Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing &amp; Low Power VLSI Design etc.</span></div></div><div class="" id=":R4tjpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4tjpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-7" aria-haspopup="dialog" aria-controls=":R51jpkf6:" popovertarget=":R51jpkf6:" style="anchor-name:--popover-R51jpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/a0810105/34424416"><span class="sr-only">Design of Nanoscale 3-T DRAM using FinFET</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:7" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Design of Nanoscale 3-T DRAM using FinFET" src="https://cdn.slidesharecdn.com/ss_thumbnails/a0810105-140508014508-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Design of Nanoscale 3-T DRAM using FinFET" src="https://cdn.slidesharecdn.com/ss_thumbnails/a0810105-140508014508-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Design of Nanoscale 3-T DRAM using FinFET</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Design of Nanoscale 3-T DRAM using FinFET for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dl1jpkf6:" popovertarget=":R1dl1jpkf6:" style="anchor-name:--popover-R1dl1jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dl1jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dl1jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/IOSR">IOSR Journals</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document describes the design of a 3-transistor (3T) dynamic random access memory (DRAM) cell using both CMOS and FinFET technologies at the 32nm node. Simulation results show that the FinFET-based DRAM cell has lower average power (9.5136uW vs 10.869uW), lower power dissipation (144.7353uW vs 235.2313uW), and much lower leakage power (2.4303nW vs 1.8781uW) compared to the CMOS-based cell. FinFET devices are able to better control short channel effects at small scales compared to planar CMOS, enabling lower power DRAM designs. The document provides</span></div></div><div class="" id=":R51jpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R51jpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-8" aria-haspopup="dialog" aria-controls=":R55jpkf6:" popovertarget=":R55jpkf6:" style="anchor-name:--popover-R55jpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/printed-circuit-board-design-techniques-for-emc-compliance202402201451050000pdf/266873472"><span class="sr-only">Printed Circuit Board Design Techniques for EMC Compliance_20240220_145105_00...</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:8" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Printed Circuit Board Design Techniques for EMC Compliance_20240220_145105_00..." src="https://cdn.slidesharecdn.com/ss_thumbnails/printedcircuitboarddesigntechniquesforemccompliance202402201451050000-240319221459-0c65d3f0-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Printed Circuit Board Design Techniques for EMC Compliance_20240220_145105_00..." src="https://cdn.slidesharecdn.com/ss_thumbnails/printedcircuitboarddesigntechniquesforemccompliance202402201451050000-240319221459-0c65d3f0-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Printed Circuit Board Design Techniques for EMC Compliance_20240220_145105_00...</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Printed Circuit Board Design Techniques for EMC Compliance_20240220_145105_00... for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dl5jpkf6:" popovertarget=":R1dl5jpkf6:" style="anchor-name:--popover-R1dl5jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dl5jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dl5jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AlanGustavo13">AlanGustavo13</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Key ways to ensure the purity of the power distribution system in a PCB design include: 1. Controlling the impedance and capacitive loading of each trace path to maintain signal integrity and minimize interference. 2. Maintaining the purity of the power and ground planes by preventing switching noise, externally induced RF fields, surge events, and other interference. 3. Using board materials with low dielectric constants to allow for faster signal propagation speeds and reduced capacitance in trace paths. 4. Minimizing crosstalk and interference through strategic design of signal and power paths.</span></div></div><div class="" id=":R55jpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R55jpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-9" aria-haspopup="dialog" aria-controls=":R59jpkf6:" popovertarget=":R59jpkf6:" style="anchor-name:--popover-R59jpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/04inter-phase-spacers/47062091"><span class="sr-only">INTER PHASE SPACERS </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:9" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="INTER PHASE SPACERS " src="https://cdn.slidesharecdn.com/ss_thumbnails/04-150416041802-conversion-gate02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="INTER PHASE SPACERS " src="https://cdn.slidesharecdn.com/ss_thumbnails/04-150416041802-conversion-gate02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">INTER PHASE SPACERS </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save INTER PHASE SPACERS for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dl9jpkf6:" popovertarget=":R1dl9jpkf6:" style="anchor-name:--popover-R1dl9jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dl9jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dl9jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/HarishAgarwal3">Harish Agarwal</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Composite inter phase separators have a great role to play to compact the lines and avoid flash-overs &amp; short circuits resulting from conductor swing and galloping.</span></div></div><div class="" id=":R59jpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R59jpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-10" aria-haspopup="dialog" aria-controls=":R5djpkf6:" popovertarget=":R5djpkf6:" style="anchor-name:--popover-R5djpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/i501045562/44375591"><span class="sr-only">IR Drop Analysis and Its Reduction Techniques in Deep Submicron Technology</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:10" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="IR Drop Analysis and Its Reduction Techniques in Deep Submicron Technology" src="https://cdn.slidesharecdn.com/ss_thumbnails/i501045562-150207004243-conversion-gate02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="IR Drop Analysis and Its Reduction Techniques in Deep Submicron Technology" src="https://cdn.slidesharecdn.com/ss_thumbnails/i501045562-150207004243-conversion-gate02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">IR Drop Analysis and Its Reduction Techniques in Deep Submicron Technology</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save IR Drop Analysis and Its Reduction Techniques in Deep Submicron Technology for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dldjpkf6:" popovertarget=":R1dldjpkf6:" style="anchor-name:--popover-R1dldjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dldjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dldjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ijeraeditor">IJERA Editor</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This paper presents a detailed conceptual analysis of IR Drop effect in deep submicron technologies and its reduction techniques. The IR Drop effect in power/ground network increases rapidly with technology scaling. This affects the timing of the design and hence the desired speed. It is shown that in present day designs, using well known reduction techniques such as wire sizing and decoupling capacitor insertion, may not be sufficient to limit the voltage fluctuations and hence, two more important methods such as selective glitch reduction technique and IR Drop reduction through combinational circuit partitioning are discussed and the issues related to all the techniques are revised.</span></div></div><div class="" id=":R5djpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5djpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-11" aria-haspopup="dialog" aria-controls=":R5hjpkf6:" popovertarget=":R5hjpkf6:" style="anchor-name:--popover-R5hjpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/d503051619/46972470"><span class="sr-only">Structural and Electrical Analysis of Various MOSFET Designs</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:11" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Structural and Electrical Analysis of Various MOSFET Designs" src="https://cdn.slidesharecdn.com/ss_thumbnails/d503051619-150414043856-conversion-gate01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Structural and Electrical Analysis of Various MOSFET Designs" src="https://cdn.slidesharecdn.com/ss_thumbnails/d503051619-150414043856-conversion-gate01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Structural and Electrical Analysis of Various MOSFET Designs</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Structural and Electrical Analysis of Various MOSFET Designs for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dlhjpkf6:" popovertarget=":R1dlhjpkf6:" style="anchor-name:--popover-R1dlhjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dlhjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dlhjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ijeraeditor">IJERA Editor</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Invention of Transistor is the foundation of electronics industry. Metal Oxide Semiconductor Field Effect Transistor (MOSFET) has been the key to the development of nano electronics technology. This paper offers a brief review of some of the most popular MOSFET structure designs. The scaling down of planar bulk MOSFET proposed by the Moore’s Law has been saturated due to short channel effects and DIBL. Due to this alternative approaches has been considered to overcome the problems at lower node technology. SOI and FinFET technologies are promising candidates in this area.</span></div></div><div class="" id=":R5hjpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5hjpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-12" aria-haspopup="dialog" aria-controls=":R5ljpkf6:" popovertarget=":R5ljpkf6:" style="anchor-name:--popover-R5ljpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/JazzSameer/18ec655module1pptx"><span class="sr-only">18EC655_Module-1.pptx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:12" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="18EC655_Module-1.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/18ec655module-1-230708131457-5c822193-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="18EC655_Module-1.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/18ec655module-1-230708131457-5c822193-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">18EC655_Module-1.pptx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save 18EC655_Module-1.pptx for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dlljpkf6:" popovertarget=":R1dlljpkf6:" style="anchor-name:--popover-R1dlljpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dlljpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dlljpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/JazzSameer">JazzSameer</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses basic concepts in VLSI design including: - The history and progression of integrated circuit generations from SSI to VLSI to ULSI. - The basic operation and types (enhancement vs depletion, NMOS vs PMOS) of MOS transistors. - Fabrication processes for CMOS, including masks, diffusion, deposition of oxide and polysilicon layers. - Threshold voltage and factors that determine it such as oxide thickness and charges at interfaces.</span></div></div><div class="" id=":R5ljpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5ljpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-13" aria-haspopup="dialog" aria-controls=":R5pjpkf6:" popovertarget=":R5pjpkf6:" style="anchor-name:--popover-R5pjpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/RoslinaShariff/pvc-cmos-finale"><span class="sr-only">Pvc cmos finale </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:13" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Pvc cmos finale " src="https://cdn.slidesharecdn.com/ss_thumbnails/pvccmosfinale190415paklahfinale-180305040729-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Pvc cmos finale " src="https://cdn.slidesharecdn.com/ss_thumbnails/pvccmosfinale190415paklahfinale-180305040729-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Pvc cmos finale </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Pvc cmos finale for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dlpjpkf6:" popovertarget=":R1dlpjpkf6:" style="anchor-name:--popover-R1dlpjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dlpjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dlpjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/RoslinaShariff">Roslina Shariff</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document provides an introduction and background on Complementary Metal Oxide Semiconductor (CMOS) technology. It discusses key components of a CMOS circuit including NMOS, PMOS, photolithography, etching, chemical mechanical planarization, shallow trench isolation, contacts, vias, and interlayer dielectrics. The objectives of the project are to identify defects in a defective CMOS sample using electrical testing, scanning laser optical microscopy, passive voltage contrast under SEM, and focused ion beam with EDX to determine the root cause of the defect.</span></div></div><div class="" id=":R5pjpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5pjpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-14" aria-haspopup="dialog" aria-controls=":R5tjpkf6:" popovertarget=":R5tjpkf6:" style="anchor-name:--popover-R5tjpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/nanometer-layout-handbook-at-high-speed-design/76971943"><span class="sr-only">Nanometer layout handbook at high speed design</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:14" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Nanometer layout handbook at high speed design" src="https://cdn.slidesharecdn.com/ss_thumbnails/nanometerlayouthandbookathighspeeddesign-170615123243-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Nanometer layout handbook at high speed design" src="https://cdn.slidesharecdn.com/ss_thumbnails/nanometerlayouthandbookathighspeeddesign-170615123243-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Nanometer layout handbook at high speed design</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Nanometer layout handbook at high speed design for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dltjpkf6:" popovertarget=":R1dltjpkf6:" style="anchor-name:--popover-R1dltjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dltjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dltjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/MinhoPark25">Minho Park</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">I made this contents for whom is about to layout own&#x27;s IC design. I think it would be helpful to consider layouts about high speed Rx / Tx. Specially it was aimed giga hertz bandwidth I/O with its ESD protection (I am still working on that items to rearrange with my knowledge to my experiences) I showed up all references and all images (except originals) are belong to own&#x27;s copy rights.</span></div></div><div class="" id=":R5tjpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5tjpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-15" aria-haspopup="dialog" aria-controls=":R61jpkf6:" popovertarget=":R61jpkf6:" style="anchor-name:--popover-R61jpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/extended-abstract-adam-moreau/64819483"><span class="sr-only">Extended Abstract - Adam Moreau</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:15" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Extended Abstract - Adam Moreau" src="https://cdn.slidesharecdn.com/ss_thumbnails/df5d5815-28f5-4228-bc14-42825c98e02f-160809025337-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Extended Abstract - Adam Moreau" src="https://cdn.slidesharecdn.com/ss_thumbnails/df5d5815-28f5-4228-bc14-42825c98e02f-160809025337-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Extended Abstract - Adam Moreau</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Extended Abstract - Adam Moreau for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dm1jpkf6:" popovertarget=":R1dm1jpkf6:" style="anchor-name:--popover-R1dm1jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dm1jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dm1jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AdamMoreau">Adam Moreau</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document summarizes research into designing a piezoelectric-actuated mirror mount with a servo bandwidth greater than 380kHz. Four different mount designs were tested: a cylindrical control mount and three designs intended to dampen mechanical resonances - a solid center of mass design, a design with a 0.125&quot; tungsten-carbide core, and a design with a 0.25&quot; tungsten-carbide core. Testing showed the thickness of the adhesive layer and mount material most affected resonance frequency. The optimal design was found to be a 0.25&quot; tungsten-carbide filled brass mount, achieving a 392kHz servo bandwidth.</span></div></div><div class="" id=":R61jpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R61jpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-16" aria-haspopup="dialog" aria-controls=":R65jpkf6:" popovertarget=":R65jpkf6:" style="anchor-name:--popover-R65jpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/wirelesspages0414/37688587"><span class="sr-only">PIM: Components, Materials, Handling &amp; Testing</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:16" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="PIM: Components, Materials, Handling &amp; Testing" src="https://cdn.slidesharecdn.com/ss_thumbnails/wirelesspages0414-140805115723-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="PIM: Components, Materials, Handling &amp; Testing" src="https://cdn.slidesharecdn.com/ss_thumbnails/wirelesspages0414-140805115723-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">PIM: Components, Materials, Handling &amp; Testing</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save PIM: Components, Materials, Handling &amp; Testing for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dm5jpkf6:" popovertarget=":R1dm5jpkf6:" style="anchor-name:--popover-R1dm5jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dm5jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dm5jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AWT-Global">AWT Global</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This issue of AWT Global&#x27;s Wireless Pages describes How to measure PIM, treating low PIM components and offers information about low PIM materials.</span></div></div><div class="" id=":R65jpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R65jpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-17" aria-haspopup="dialog" aria-controls=":R69jpkf6:" popovertarget=":R69jpkf6:" style="anchor-name:--popover-R69jpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/nc342352340/26092580"><span class="sr-only">Nc342352340</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:17" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Nc342352340" src="https://cdn.slidesharecdn.com/ss_thumbnails/nc342352340-130911055219-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Nc342352340" src="https://cdn.slidesharecdn.com/ss_thumbnails/nc342352340-130911055219-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Nc342352340</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Nc342352340 for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dm9jpkf6:" popovertarget=":R1dm9jpkf6:" style="anchor-name:--popover-R1dm9jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dm9jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dm9jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ijeraeditor">IJERA Editor</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document summarizes research on scaling limits of CMOS devices and proposed structures to overcome these limits. It first discusses how quantum mechanical effects and short channel effects become problematic as devices are scaled down, limiting further scaling. It then reviews various structures proposed by other researchers, including fully depleted SOI MOSFETs with strained silicon channels, dual material gates, and gate-all-around structures. Finally, it proposes a new structure combining these elements: a fully depleted SOI gate-all-around MOSFET using a strained silicon channel and dual material gate to address scaling challenges while improving performance.</span></div></div><div class="" id=":R69jpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R69jpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-18" aria-haspopup="dialog" aria-controls=":R6djpkf6:" popovertarget=":R6djpkf6:" style="anchor-name:--popover-R6djpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/b02041118/14129205"><span class="sr-only">IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:18" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="IJERD (www.ijerd.com) International Journal of Engineering Research and Devel..." src="https://cdn.slidesharecdn.com/ss_thumbnails/b02041118-120831080415-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="IJERD (www.ijerd.com) International Journal of Engineering Research and Devel..." src="https://cdn.slidesharecdn.com/ss_thumbnails/b02041118-120831080415-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save IJERD (www.ijerd.com) International Journal of Engineering Research and Devel... for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dmdjpkf6:" popovertarget=":R1dmdjpkf6:" style="anchor-name:--popover-R1dmdjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dmdjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dmdjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ijerd_editor">IJERD Editor</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document summarizes a research paper that proposes low-leakage 1-bit full adder cell designs for reducing power consumption in nanometer technologies. It introduces two modified full adder circuit designs (Design1 and Design2) that apply transistor resizing and power gating techniques. Simulation results show that the proposed designs reduce standby leakage power and active power compared to a conventional 28-transistor CMOS full adder. Design1 sizes transistors with a 3.17x PMOS-to-NMOS ratio while Design2 uses a 1.5x ratio. Both aim to minimize area and leakage through optimized transistor widths and lengths.</span></div></div><div class="" id=":R6djpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R6djpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="similarTo-card-description-19" aria-haspopup="dialog" aria-controls=":R6hjpkf6:" popovertarget=":R6hjpkf6:" style="anchor-name:--popover-R6hjpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/ultra-high-speed-factorial-design-in-subnanometer-technology/86508427"><span class="sr-only">ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:19" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY" src="https://cdn.slidesharecdn.com/ss_thumbnails/csit3229-180122091617-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY" src="https://cdn.slidesharecdn.com/ss_thumbnails/csit3229-180122091617-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dmhjpkf6:" popovertarget=":R1dmhjpkf6:" style="anchor-name:--popover-R1dmhjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dmhjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dmhjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/cscpconf">cscpconf</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This work proposes a high speed and low power factorial design in 22nm technology and also it counts the effect of sub nano-meter constraints on this circuit. A comparative study for this design has been done for 90nm, 45nm and 22nm technology. The rise in circuit complexity and speed is accompanied by the scaling of MOSFET’s. The transistor saturation current Idsat is an important parameter because the transistor current determines the time needed to charge and discharge the capacitive loads on chip, and thus impacts the product speed more than any other transistor parameter. The efficient implementation of a factorial number is carried out by using a decremented and multipliers which has been lucidly discussed in this paper. Normally in a factorial module a number is calculated as the iterative multiplication of the given number to the decremented value of the given number. A Parallel adder based decremented has been proposed for calculating the factorial of any number that also includes 0 and 1. The performances are calculated by using the existing 90-nm CMOS technology and scaling down the existing technology to 45-nm and 22-nm. </span></div></div><div class="" id=":R6hjpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R6hjpkf6"></div></div><div class="desktop-recs"><div class="Slider_root__c0Jo8"><div class="Slider_scroller__KHjw4"><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/b-mos-transistor-fabrication-problem/16994087"><span class="sr-only">B )mos transistor fabrication problem</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:0" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="B )mos transistor fabrication problem" src="https://cdn.slidesharecdn.com/ss_thumbnails/bmostransistorfabricationproblem-130306231358-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="B )mos transistor fabrication problem" src="https://cdn.slidesharecdn.com/ss_thumbnails/bmostransistorfabricationproblem-130306231358-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">B )mos transistor fabrication problem</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save B )mos transistor fabrication problem for later" role="switch" aria-haspopup="dialog" aria-controls=":R5gmjpkf6:" popovertarget=":R5gmjpkf6:" style="anchor-name:--popover-R5gmjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5gmjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5gmjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/virussalona">Viruss Alona</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-interview-questions1/25943220"><span class="sr-only">Vlsi interview questions1</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:1" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Vlsi interview questions1" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsiinterviewquestions1-130905223534--thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Vlsi interview questions1" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsiinterviewquestions1-130905223534--thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Vlsi interview questions1</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Vlsi interview questions1 for later" role="switch" aria-haspopup="dialog" aria-controls=":R5h6jpkf6:" popovertarget=":R5h6jpkf6:" style="anchor-name:--popover-R5h6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5h6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5h6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/sukeshprathap">SUKESH Prathap</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/cmos-vlsi-design/5328783"><span class="sr-only">CMOS VLSI design</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:2" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="CMOS VLSI design" src="https://cdn.slidesharecdn.com/ss_thumbnails/piyush-100930205645-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="CMOS VLSI design" src="https://cdn.slidesharecdn.com/ss_thumbnails/piyush-100930205645-phpapp02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">CMOS VLSI design</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save CMOS VLSI design for later" role="switch" aria-haspopup="dialog" aria-controls=":R5hmjpkf6:" popovertarget=":R5hmjpkf6:" style="anchor-name:--popover-R5hmjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5hmjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5hmjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/RajanKumar1">Rajan Kumar</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/proximity-effect/47337922"><span class="sr-only">Proximity effect</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:3" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Proximity effect" src="https://cdn.slidesharecdn.com/ss_thumbnails/proximityeffect-150423103125-conversion-gate02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Proximity effect" src="https://cdn.slidesharecdn.com/ss_thumbnails/proximityeffect-150423103125-conversion-gate02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Proximity effect</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Proximity effect for later" role="switch" aria-haspopup="dialog" aria-controls=":R5i6jpkf6:" popovertarget=":R5i6jpkf6:" style="anchor-name:--popover-R5i6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5i6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5i6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/abhinaypotlabathini">Abhinay Potlabathini</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/my-vlsipptx/255536378"><span class="sr-only">My VLSI.pptx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:4" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="My VLSI.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/myvlsi-230126132649-ea1decc1-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="My VLSI.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/myvlsi-230126132649-ea1decc1-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">My VLSI.pptx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save My VLSI.pptx for later" role="switch" aria-haspopup="dialog" aria-controls=":R5imjpkf6:" popovertarget=":R5imjpkf6:" style="anchor-name:--popover-R5imjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5imjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5imjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/chiranjeevimuppala2">chiranjeevimuppala2</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/analog-and-digital-circuit-design-in-65-nm-cmos-end-of-the-roaddocx/267038511"><span class="sr-only">Analog and digital circuit design in 65 nm CMOS end of the road.docx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:5" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Analog and digital circuit design in 65 nm CMOS end of the road.docx" src="https://cdn.slidesharecdn.com/ss_thumbnails/analoganddigitalcircuitdesignin65nmcmosendoftheroad-240331104703-89c6aae7-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Analog and digital circuit design in 65 nm CMOS end of the road.docx" src="https://cdn.slidesharecdn.com/ss_thumbnails/analoganddigitalcircuitdesignin65nmcmosendoftheroad-240331104703-89c6aae7-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Analog and digital circuit design in 65 nm CMOS end of the road.docx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Analog and digital circuit design in 65 nm CMOS end of the road.docx for later" role="switch" aria-haspopup="dialog" aria-controls=":R5j6jpkf6:" popovertarget=":R5j6jpkf6:" style="anchor-name:--popover-R5j6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5j6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5j6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ZHKhan15">ZHKhan15</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/w04406104107/35249814"><span class="sr-only">W04406104107</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:6" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="W04406104107" src="https://cdn.slidesharecdn.com/ss_thumbnails/w04406104107-140529023127-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="W04406104107" src="https://cdn.slidesharecdn.com/ss_thumbnails/w04406104107-140529023127-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">W04406104107</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save W04406104107 for later" role="switch" aria-haspopup="dialog" aria-controls=":R5jmjpkf6:" popovertarget=":R5jmjpkf6:" style="anchor-name:--popover-R5jmjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5jmjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5jmjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ijeraeditor">IJERA Editor</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/a0810105/34424416"><span class="sr-only">Design of Nanoscale 3-T DRAM using FinFET</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:7" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Design of Nanoscale 3-T DRAM using FinFET" src="https://cdn.slidesharecdn.com/ss_thumbnails/a0810105-140508014508-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Design of Nanoscale 3-T DRAM using FinFET" src="https://cdn.slidesharecdn.com/ss_thumbnails/a0810105-140508014508-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Design of Nanoscale 3-T DRAM using FinFET</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Design of Nanoscale 3-T DRAM using FinFET for later" role="switch" aria-haspopup="dialog" aria-controls=":R5k6jpkf6:" popovertarget=":R5k6jpkf6:" style="anchor-name:--popover-R5k6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5k6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5k6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/IOSR">IOSR Journals</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/printed-circuit-board-design-techniques-for-emc-compliance202402201451050000pdf/266873472"><span class="sr-only">Printed Circuit Board Design Techniques for EMC Compliance_20240220_145105_00...</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:8" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Printed Circuit Board Design Techniques for EMC Compliance_20240220_145105_00..." src="https://cdn.slidesharecdn.com/ss_thumbnails/printedcircuitboarddesigntechniquesforemccompliance202402201451050000-240319221459-0c65d3f0-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Printed Circuit Board Design Techniques for EMC Compliance_20240220_145105_00..." src="https://cdn.slidesharecdn.com/ss_thumbnails/printedcircuitboarddesigntechniquesforemccompliance202402201451050000-240319221459-0c65d3f0-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Printed Circuit Board Design Techniques for EMC Compliance_20240220_145105_00...</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Printed Circuit Board Design Techniques for EMC Compliance_20240220_145105_00... for later" role="switch" aria-haspopup="dialog" aria-controls=":R5kmjpkf6:" popovertarget=":R5kmjpkf6:" style="anchor-name:--popover-R5kmjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5kmjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5kmjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AlanGustavo13">AlanGustavo13</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/04inter-phase-spacers/47062091"><span class="sr-only">INTER PHASE SPACERS </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:9" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="INTER PHASE SPACERS " src="https://cdn.slidesharecdn.com/ss_thumbnails/04-150416041802-conversion-gate02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="INTER PHASE SPACERS " src="https://cdn.slidesharecdn.com/ss_thumbnails/04-150416041802-conversion-gate02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">INTER PHASE SPACERS </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save INTER PHASE SPACERS for later" role="switch" aria-haspopup="dialog" aria-controls=":R5l6jpkf6:" popovertarget=":R5l6jpkf6:" style="anchor-name:--popover-R5l6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5l6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5l6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/HarishAgarwal3">Harish Agarwal</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/i501045562/44375591"><span class="sr-only">IR Drop Analysis and Its Reduction Techniques in Deep Submicron Technology</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:10" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="IR Drop Analysis and Its Reduction Techniques in Deep Submicron Technology" src="https://cdn.slidesharecdn.com/ss_thumbnails/i501045562-150207004243-conversion-gate02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="IR Drop Analysis and Its Reduction Techniques in Deep Submicron Technology" src="https://cdn.slidesharecdn.com/ss_thumbnails/i501045562-150207004243-conversion-gate02-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">IR Drop Analysis and Its Reduction Techniques in Deep Submicron Technology</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save IR Drop Analysis and Its Reduction Techniques in Deep Submicron Technology for later" role="switch" aria-haspopup="dialog" aria-controls=":R5lmjpkf6:" popovertarget=":R5lmjpkf6:" style="anchor-name:--popover-R5lmjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5lmjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5lmjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ijeraeditor">IJERA Editor</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/d503051619/46972470"><span class="sr-only">Structural and Electrical Analysis of Various MOSFET Designs</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:11" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Structural and Electrical Analysis of Various MOSFET Designs" src="https://cdn.slidesharecdn.com/ss_thumbnails/d503051619-150414043856-conversion-gate01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Structural and Electrical Analysis of Various MOSFET Designs" src="https://cdn.slidesharecdn.com/ss_thumbnails/d503051619-150414043856-conversion-gate01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Structural and Electrical Analysis of Various MOSFET Designs</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Structural and Electrical Analysis of Various MOSFET Designs for later" role="switch" aria-haspopup="dialog" aria-controls=":R5m6jpkf6:" popovertarget=":R5m6jpkf6:" style="anchor-name:--popover-R5m6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5m6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5m6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ijeraeditor">IJERA Editor</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/JazzSameer/18ec655module1pptx"><span class="sr-only">18EC655_Module-1.pptx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:12" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="18EC655_Module-1.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/18ec655module-1-230708131457-5c822193-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="18EC655_Module-1.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/18ec655module-1-230708131457-5c822193-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">18EC655_Module-1.pptx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save 18EC655_Module-1.pptx for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mmjpkf6:" popovertarget=":R5mmjpkf6:" style="anchor-name:--popover-R5mmjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mmjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mmjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/JazzSameer">JazzSameer</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/RoslinaShariff/pvc-cmos-finale"><span class="sr-only">Pvc cmos finale </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:13" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Pvc cmos finale " src="https://cdn.slidesharecdn.com/ss_thumbnails/pvccmosfinale190415paklahfinale-180305040729-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Pvc cmos finale " src="https://cdn.slidesharecdn.com/ss_thumbnails/pvccmosfinale190415paklahfinale-180305040729-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Pvc cmos finale </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Pvc cmos finale for later" role="switch" aria-haspopup="dialog" aria-controls=":R5n6jpkf6:" popovertarget=":R5n6jpkf6:" style="anchor-name:--popover-R5n6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5n6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5n6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/RoslinaShariff">Roslina Shariff</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/nanometer-layout-handbook-at-high-speed-design/76971943"><span class="sr-only">Nanometer layout handbook at high speed design</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:14" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Nanometer layout handbook at high speed design" src="https://cdn.slidesharecdn.com/ss_thumbnails/nanometerlayouthandbookathighspeeddesign-170615123243-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Nanometer layout handbook at high speed design" src="https://cdn.slidesharecdn.com/ss_thumbnails/nanometerlayouthandbookathighspeeddesign-170615123243-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Nanometer layout handbook at high speed design</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Nanometer layout handbook at high speed design for later" role="switch" aria-haspopup="dialog" aria-controls=":R5nmjpkf6:" popovertarget=":R5nmjpkf6:" style="anchor-name:--popover-R5nmjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5nmjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5nmjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/MinhoPark25">Minho Park</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/extended-abstract-adam-moreau/64819483"><span class="sr-only">Extended Abstract - Adam Moreau</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:15" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Extended Abstract - Adam Moreau" src="https://cdn.slidesharecdn.com/ss_thumbnails/df5d5815-28f5-4228-bc14-42825c98e02f-160809025337-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Extended Abstract - Adam Moreau" src="https://cdn.slidesharecdn.com/ss_thumbnails/df5d5815-28f5-4228-bc14-42825c98e02f-160809025337-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Extended Abstract - Adam Moreau</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Extended Abstract - Adam Moreau for later" role="switch" aria-haspopup="dialog" aria-controls=":R5o6jpkf6:" popovertarget=":R5o6jpkf6:" style="anchor-name:--popover-R5o6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5o6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5o6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AdamMoreau">Adam Moreau</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/wirelesspages0414/37688587"><span class="sr-only">PIM: Components, Materials, Handling &amp; Testing</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:16" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="PIM: Components, Materials, Handling &amp; Testing" src="https://cdn.slidesharecdn.com/ss_thumbnails/wirelesspages0414-140805115723-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="PIM: Components, Materials, Handling &amp; Testing" src="https://cdn.slidesharecdn.com/ss_thumbnails/wirelesspages0414-140805115723-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">PIM: Components, Materials, Handling &amp; Testing</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save PIM: Components, Materials, Handling &amp; Testing for later" role="switch" aria-haspopup="dialog" aria-controls=":R5omjpkf6:" popovertarget=":R5omjpkf6:" style="anchor-name:--popover-R5omjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5omjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5omjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AWT-Global">AWT Global</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/nc342352340/26092580"><span class="sr-only">Nc342352340</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:17" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Nc342352340" src="https://cdn.slidesharecdn.com/ss_thumbnails/nc342352340-130911055219-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Nc342352340" src="https://cdn.slidesharecdn.com/ss_thumbnails/nc342352340-130911055219-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Nc342352340</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Nc342352340 for later" role="switch" aria-haspopup="dialog" aria-controls=":R5p6jpkf6:" popovertarget=":R5p6jpkf6:" style="anchor-name:--popover-R5p6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5p6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5p6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ijeraeditor">IJERA Editor</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/b02041118/14129205"><span class="sr-only">IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:18" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="IJERD (www.ijerd.com) International Journal of Engineering Research and Devel..." src="https://cdn.slidesharecdn.com/ss_thumbnails/b02041118-120831080415-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="IJERD (www.ijerd.com) International Journal of Engineering Research and Devel..." src="https://cdn.slidesharecdn.com/ss_thumbnails/b02041118-120831080415-phpapp01-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save IJERD (www.ijerd.com) International Journal of Engineering Research and Devel... for later" role="switch" aria-haspopup="dialog" aria-controls=":R5pmjpkf6:" popovertarget=":R5pmjpkf6:" style="anchor-name:--popover-R5pmjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5pmjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5pmjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ijerd_editor">IJERD Editor</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/ultra-high-speed-factorial-design-in-subnanometer-technology/86508427"><span class="sr-only">ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:19" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY" src="https://cdn.slidesharecdn.com/ss_thumbnails/csit3229-180122091617-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY" src="https://cdn.slidesharecdn.com/ss_thumbnails/csit3229-180122091617-thumbnail.jpg?width=560&amp;fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY for later" role="switch" aria-haspopup="dialog" aria-controls=":R5q6jpkf6:" popovertarget=":R5q6jpkf6:" style="anchor-name:--popover-R5q6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5q6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5q6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/cscpconf">cscpconf</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div></div><button aria-label="Previous" type="button" class="Slider_arrow__8LCca Slider_prev__YMssa Slider_hidden__rs7nK"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/chevron-left.50b146c0.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><button aria-label="Next" type="button" class="Slider_arrow__8LCca Slider_next__fa9IO"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/chevron-right.9b30ad08.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button></div></div></div></div><div id="between-recs-ad-2-container" class="freestar-ad-container FreestarAdContainer_root__qPPC_" style="--fallback-aspect-ratio:undefined / undefined" data-testid="freestar-ad-container"><div><div class="" id="between-recs-ad-2"></div></div></div></div></div><!--/$--><div class="Transcript_root__Vrf6Q"><h2 class="Transcript_title__YgAka"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/file.5db1ba24.svg);background-color:currentColor"></span><span class="sr-only"></span></span>Nmi Presentation Sept 2007</h2><div><ul class="Transcript_list__faItj"><div><li>1. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/AdrianOShaughnessy/nmi-presentation-sept-2007#1">NMI Presentation Notes </a> 12th September 2007 IC Mask Design Limited Unit 12G Maynooth Business Campus Maynooth, Co. Kildare, Ireland. Topics: 1. Analog Layout at 90 nanometer 2. RF Layout at 90 nanometer Analog Layout at 90 nanometer There are various challages in Analog layout at 90 nanometer.The topics for discussion are:  Shallow Trench Isolation Stress (STI)  Well Proximity Effect (WPE) RF Layout at 90 nanometer As frequencies increase, with some CMOS circuits operating in the RF range, layout quality has a larger influence on circuit perfomance. The topics for discussion are:  Interconnect  Interconnect Parasitics  Device Parasitics  Understanding and optimising device parasitics ICMaskDesign Adrian O&#x27;Shaughnessy </li></div><div><li>2. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/AdrianOShaughnessy/nmi-presentation-sept-2007#2">STI So what exactly </a> is it? STI is a technique to electrically isolate mos transistors from each other. LOCUS (local oxidation of silicon) was the technique used previous to this. Basically this involved growing a thick pad of oxide between adjacent devices. The problem with it really was scalability. As geometries were getting smaller it wasn&#x27;t really an option, so Shallow Trench Isolation was introduced. This involved etching out a shallow trench into the substrate between devices and then filling with an oxide. So why is it so important that we understand it? Basically if STI isn&#x27;t taking into account it can lead to circuit failure. So the designer has to be aware of it and most importantly the layout engineer has to understand and know how to deal with it. So what problems does it introduce? The STI process stresses the mos transistors which can lead to a degradation or variation in performance. So this can affect things like matching. If your current mirror is experiencing a variation across it&#x27;s transistors then they won&#x27;t be matched and offsets could be introduced. Worse still will be that the current output will be much less than expected so things like biasing currents could be affected. So how does it stress the mos transistors? First of all when the trench is etched into the substrate this will cause some mechanical stress. In other words the silicon itself will experience stress and the degree of stress that the transistor experiences is related to the distance of the gate to the closest STI region, that is the distance of the channel to the closest oxide. So how can we as layout engineers fix it? 1. Well we could match it. If for example in a current mirror we ensure that each transistor experiences the same STI stress then they are matched. The problem with this though is the designer has to be aware that this is the technique that we are using because this technique could result in a degradation in current output and so the designer has to allow for this in their simulations. 2. Another technique is to share regions. Here we have utilised area and we have eliminated the STI stress. The important thing to note is we that must extend the poly to active distance on the outer mos transistors. We can do this by simply drawing in the extra diffusion area or by adding in dummy devices ICMaskDesign Adrian O&#x27;Shaughnessy </li></div><div><li>3. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/AdrianOShaughnessy/nmi-presentation-sept-2007#3">WPE The Well Proximity </a> Effect is another effect that if not understood has the potential to cause circuit failure. So what is it? Basically this involves the scattering of ions (ion scattering) during the implantation of the wells. So what does this cause? The result is a well surface concentration that changes with lateral distance from the mask edge over the range of 1 micron or more. This later non-uniformity in well doping causes the MOS threshold voltages and other electrical characteristics to vary with the distance of the transistor to the well edge. In some instances Vt has been found to increase by as much as 50mV as the device moves closer to the edge. If this isn&#x27;t taken into account such things as current mirrors can be shifted out of saturation leading to circuit failure. So how is it fixed? The layout engineer must insure that the mos devices either experience the same WPE effect or must ensure that there is enough of a distance from the well edge so as to negate the effects of WPE. Characterisation tests reveal that 2-5 microns should be sufficient. ICMaskDesign Adrian O&#x27;Shaughnessy </li></div><div><li>4. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/AdrianOShaughnessy/nmi-presentation-sept-2007#4">RF Layout at </a> 90 Nanometres INTRO Good layout practice at high frequencies is extremely important because bad layout can and will introduce parasitics that may result in extra current needed to drive these parasitic loads. It could also of course stop your circuit from working at all as it may limit it&#x27;s frequency response. Interconnect Lets look at the parasitics that&#x27;s introduced by interconnect. Every single piece of interconnect we put down will have an associated parasitic resistance. This resistance is calculated by multiplying it&#x27;s sheet resistance by it&#x27;s length over width – Rs * (L/W) Interconnect will also introduce different types of parasitic capacitance such as parallel plate, Fringe and cross coupling which will all have a combinational affect and create one overall parasitic capacitance. So have can we improve on the parasitic resistance? 1. Reduce Length. If we properly floorplan our blocks it should be possible to minimise the interconnect between them. 2. Increase Width. Increasing the width will reduce the number of squares. It is common for differential signals to be wider than the minimum width. 3. Use Higher Layers. Higher metals have a lower sheet resistance than lower ones therefore reducing its overall resistance. This will also have the added advantage of decreasing the capacitance to substrate. ICMaskDesign Adrian O&#x27;Shaughnessy </li></div><div><li>5. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/AdrianOShaughnessy/nmi-presentation-sept-2007#5">Device Parasitics INTRO When we </a> put down a device in layout we introduce parasitics. Simple as that. So what happens when we put down a mos device? Simple MOS Parasitics We introduce a whole host of resistive and capacitive parasitics and as a layout engineer there are some on these parasitics that can be optimised and there&#x27;s some that can&#x27;t. Parasitics that we can&#x27;t optimise are directly related to the length of the device which we can&#x27;t change. We can optimise the gate resistance by tying both ends of the poly in metal thereby connecting another resistor, in this case metal1, in parallel with in. Connecting the gate in metal will improve the resistance by 200% - 400%. We can improve the drain and source parasitic capacitance by folding the device. But which one do we optimise? This is particularly done on differential pairs. If we optimise the source area then we&#x27;re optimising a region that is going to ground if it&#x27;s an NMOS device. Any good? If we optimise the drain area then we&#x27;re optimising the output node which in RF circuits is highly sensitive to capacitance. So it&#x27;s best to optimise this. We can also improve the substrate resistance by putting in lots of well contacts. This will help prevent against latch-up and also serve as noise protection. ICMaskDesign Adrian O&#x27;Shaughnessy </li></div></ul></div></div><dialog class="Modal_root__TYkzh FullscreenModal_root__efM9m" id=":R2kf6:"><div class="Modal_wrapper__4UTGq"><div class="modal-content Modal_content__R1F4d FullscreenModal_content__bQ6mt"></div></div></dialog><div class="ad textads banner-ads banner_ads ad-unit ad-zone ad-space adsbox ads prebid" style="position:absolute;height:1px"></div><footer class="Footer_footer__N3WmV"><div class="Footer_top__y0vfl" data-testid="footer-top"><div class="Footer_links__F2xFZ"><a class="Link_root__vn3ab Link_light__mcUPh Link_size-medium__ZLo12 Link_weight-bold__me4nt" style="order:0" href="/about">About</a><a class="Link_root__vn3ab Link_light__mcUPh Link_size-medium__ZLo12 Link_weight-bold__me4nt" style="order:1" href="https://support.scribd.com/hc/en/categories/360004792932-SlideShare?userType=SlideShare">Support</a><a class="Link_root__vn3ab Link_light__mcUPh Link_size-medium__ZLo12 Link_weight-bold__me4nt" style="order:2" href="https://support.scribd.com/hc/en/categories/360004792932-SlideShare?userType=SlideShare/articles/210129326-General-Terms-of-Use">Terms</a><a class="Link_root__vn3ab Link_light__mcUPh Link_size-medium__ZLo12 Link_weight-bold__me4nt" style="order:3" href="https://support.scribd.com/hc/en/categories/360004792932-SlideShare?userType=SlideShare/articles/210129366-Privacy-policy">Privacy</a><a class="Link_root__vn3ab Link_light__mcUPh Link_size-medium__ZLo12 Link_weight-bold__me4nt" style="order:4" href="/copyright-policy">Copyright</a><a class="Link_root__vn3ab Link_light__mcUPh Link_size-medium__ZLo12 Link_weight-bold__me4nt" style="order:5" href="https://support.scribd.com/hc/en/articles/360038016931-Privacy-Rights-Request-Form">Do not sell or share my personal information</a><button type="button" class="Footer_cookiePreferenceButton__lDgkB">Cookie Preferences</button></div><button type="button" aria-label="Change Language" class="LanguageSelect_trigger__XUC_9" data-cy="language-select-trigger" aria-haspopup="dialog" aria-controls=":R9j6:" popovertarget=":R9j6:" style="anchor-name:--popover-R9j6">English<span class="icon Icon_root__AjZyv" style="--size:12px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/caret-down.ae4671a7.svg);background-color:currentColor"></span><span class="sr-only"></span></span><span class="sr-only">Current Language</span></button><div class="" id=":R9j6:" popover="manual" data-popover-position="top-end" style="position-anchor:--popover-R9j6"></div></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="Footer_bottom__reaXc" data-testid="footer-bottom"><span class="Footer_copyright__dje7H">© 2025 SlideShare from Scribd</span><div class="Footer_icons__8EufG"><a class="Link_root__vn3ab Link_light__mcUPh Link_size-large__W0PAv Link_weight-regular__yPpnB" aria-label="Slideshare on Twitter" title="Twitter" href="https://twitter.com/slideshare"><span class="icon Icon_root__AjZyv" style="--size:18px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/twitter.89a06630.svg);background-color:currentColor"></span><span class="sr-only"></span></span></a><div class="separator Separator_root__70Ime Separator_vertical__JYCCK" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><a class="Link_root__vn3ab Link_light__mcUPh Link_size-large__W0PAv Link_weight-regular__yPpnB" aria-label="Slideshare on RSS Feed" title="RSS" href="https://www.slideshare.net/rss/latest"><span class="icon Icon_root__AjZyv" style="--size:16px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/rss.5c914539.svg);background-color:currentColor"></span><span class="sr-only"></span></span></a></div></div></footer><div id="portals-container" style="z-index:1"><div id="banner-portal" class="PortalsContainer_bannerRoot__Q_sNw"></div></div></div></div><script src="https://cmp.osano.com/AzZdHGSGtpxCq1Cpt/079b27eb-bb3f-48dd-9bd9-3feb8aec3c38/osano.js"></script><script> // This script assumes the Osano script is synchronously loaded window.eventsToSend = window.eventsToSend || [] if (window.Osano) { window.eventsToSend.push({ name: "osano_loaded", timestamp: new Date().toISOString(), value: JSON.stringify({ time: performance?.now() }) }) } </script><script defer="" nomodule="" src="https://public.slidesharecdn.com/_next/static/chunks/polyfills-42372ed130431b0a.js"></script><script src="https://public.slidesharecdn.com/_next/static/chunks/webpack-67055304329fa938.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/chunks/framework-bd6a9caeb00dbf2a.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/chunks/main-8d3871035d267ecc.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/chunks/pages/_app-fe4b7e9e674d6e61.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/chunks/5121-c12bfd4bed7c2139.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/chunks/6534-44b1f6b92564c66b.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/chunks/1674-c4fa4f8080779ca8.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/chunks/pages/%5Busername%5D/%5Btitle%5D-d24299bd88a3ca9f.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/b030862495cc07c0e7871314a377e645db7d1165/_buildManifest.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/b030862495cc07c0e7871314a377e645db7d1165/_ssgManifest.js" defer=""></script><script id="__NEXT_DATA__" type="application/json">{"props":{"pageProps":{"name":"slideshow","edgeTestAssignments":[{"name":"example","variant":"A"},{"name":"fullscreen_view","variant":"C"},{"name":"gallery_view","variant":"B"},{"name":"nextjs_profile","variant":"B"},{"name":"nextjs_profile_v2","variant":"B"},{"name":"reading_modes","variant":"A"},{"name":"recs_model","variant":"C"},{"name":"recs_placement","variant":"A"},{"name":"recs_placement_v2","variant":"A"},{"name":"sections","variant":"A"},{"name":"single_slide_view_v2","variant":"A"}],"layout":{"currentUser":null,"fullPath":"https://www.slideshare.net/AdrianOShaughnessy/nmi-presentation-sept-2007","osanoId":"079b27eb-bb3f-48dd-9bd9-3feb8aec3c38","featureFlags":[{"name":"disable_facebook","enabled":true},{"name":"document_interstitials_flag","enabled":true},{"name":"recommendation_impression_tracking","enabled":true},{"name":"search_results_tracking","enabled":true},{"name":"view_restriction_without_subscription_after_five","enabled":true},{"name":"disable_lazy_hydration","enabled":false}]},"countryCodeFromFastly":"SG","slideshow":{"username":"AdrianOShaughnessy","allowDownloads":true,"allowDownloadOriginalFile":true,"allowEmbeds":true,"canonicalUrl":"https://www.slideshare.net/AdrianOShaughnessy/nmi-presentation-sept-2007","categories":[],"createdAt":"2010-10-14 09:27:04 UTC","description":"The document discusses layout challenges at the 90nm technology node. It covers analog layout challenges including shallow trench isolation (STI) stress and well proximity effects that can degrade transistor performance. For RF layout, it discusses the importance of minimizing interconnect and device parasitics. Interconnect parasitics like resistance and capacitance can be reduced by shorter lengths, wider widths, and using higher metal layers. Device parasitics are also discussed and how optimizing the drain area of differential pairs by folding can help minimize parasitic capacitance effects.","downloadKey":"09930d3b1d52f413440f35901d2d791cdfc0925f05179a68e0205ccd02362312","editorsNotes":[],"emailShareUrl":"mailto:?subject=Check out this SlideShare document\u0026body=https://www.slideshare.net/AdrianOShaughnessy/nmi-presentation-sept-2007","extension":"pdf","facebookShareUrl":"https://facebook.com/sharer.php?u=https%3A%2F%2Fwww.slideshare.net%2FAdrianOShaughnessy%2Fnmi-presentation-sept-2007\u0026t=Nmi+Presentation+Sept+2007","genaiDescriptionCreatedAt":"2023-12-25","genaiTest":"description","id":"5443659","iframeEmbed":{"url":"https://www.slideshare.net/slideshow/embed_code/key/B5pZ1xX2MxDbN9","height":715,"width":670},"isIndexable":true,"isLikedByCurrentUser":false,"isPrivate":false,"isViewable":true,"language":"en","likes":0,"linkedinShareUrl":"https://www.linkedin.com/cws/share?url=https%3A%2F%2Fwww.slideshare.net%2FAdrianOShaughnessy%2Fnmi-presentation-sept-2007\u0026trk=SLIDESHARE","downloadCount":24,"secretUrl":"B5pZ1xX2MxDbN9","shouldShowAds":true,"slides":{"host":"https://image.slidesharecdn.com","title":"Nmi-Presentation-Sept-2007","imageLocation":"nmipresentationsept2007-12870663346649-phpapp01","imageSizes":[{"quality":85,"width":320,"format":"jpg"},{"quality":85,"width":638,"format":"jpg"},{"quality":75,"width":2048,"format":"webp"}]},"smsShareUrl":"sms:?body=Check out this SlideShare : https://www.slideshare.net/AdrianOShaughnessy/nmi-presentation-sept-2007","strippedTitle":"nmi-presentation-sept-2007","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/nmipresentationsept2007-12870663346649-phpapp01-thumbnail.jpg?width=640\u0026height=640\u0026fit=bounds","title":"Nmi Presentation Sept 2007","totalSlides":5,"transcript":["NMI Presentation Notes\n 12th September 2007\nIC Mask Design Limited\nUnit 12G Maynooth Business Campus\nMaynooth, Co. Kildare, Ireland.\n\n\nTopics:\n 1. Analog Layout at 90 nanometer\n 2. RF Layout at 90 nanometer\n\n\nAnalog Layout at 90 nanometer\nThere are various challages in Analog layout at 90 nanometer.The topics for discussion are:\n  Shallow Trench Isolation Stress (STI)\n  Well Proximity Effect (WPE)\n\n\nRF Layout at 90 nanometer\nAs frequencies increase, with some CMOS circuits operating in the RF range, layout quality has a\nlarger influence on circuit perfomance. The topics for discussion are:\n  Interconnect\n  Interconnect Parasitics\n\n  Device Parasitics\n  Understanding and optimising device parasitics\n\n\n\n\nICMaskDesign Adrian O'Shaughnessy\n ","STI\nSo what exactly is it?\n\nSTI is a technique to electrically isolate mos transistors from each other. LOCUS (local oxidation\nof silicon) was the technique used previous to this. Basically this involved growing a thick pad of\noxide between adjacent devices. The problem with it really was scalability. As geometries were\ngetting smaller it wasn't really an option, so Shallow Trench Isolation was introduced.\n\nThis involved etching out a shallow trench into the substrate between devices and then filling\nwith an oxide.\n\nSo why is it so important that we understand it?\n\nBasically if STI isn't taking into account it can lead to circuit failure. So the designer has to be\naware of it and most importantly the layout engineer has to understand and know how to deal with\nit.\n\nSo what problems does it introduce?\n\nThe STI process stresses the mos transistors which can lead to a degradation or variation in\nperformance. So this can affect things like matching. If your current mirror is experiencing a\nvariation across it's transistors then they won't be matched and offsets could be introduced.\n\nWorse still will be that the current output will be much less than expected so things like biasing\ncurrents could be affected.\n\nSo how does it stress the mos transistors?\n\nFirst of all when the trench is etched into the substrate this will cause some mechanical stress. In\nother words the silicon itself will experience stress and the degree of stress that the transistor\nexperiences is related to the distance of the gate to the closest STI region, that is the distance of the\nchannel to the closest oxide.\n\nSo how can we as layout engineers fix it?\n\n 1. Well we could match it. If for example in a current mirror we ensure that each transistor\n experiences the same STI stress then they are matched. The problem with this though is the\n designer has to be aware that this is the technique that we are using because this technique\n could result in a degradation in current output and so the designer has to allow for this in\n their simulations.\n 2. Another technique is to share regions. Here we have utilised area and we have eliminated\n the STI stress. The important thing to note is we that must extend the poly to active distance\n on the outer mos transistors. We can do this by simply drawing in the extra diffusion area or\n by adding in dummy devices\n\nICMaskDesign Adrian O'Shaughnessy\n ","WPE\n\nThe Well Proximity Effect is another effect that if not understood has the potential to cause circuit\nfailure.\n\nSo what is it?\n\nBasically this involves the scattering of ions (ion scattering) during the implantation of the wells.\n\nSo what does this cause?\n\nThe result is a well surface concentration that changes with lateral distance from the mask edge\nover the range of 1 micron or more.\n\nThis later non-uniformity in well doping causes the MOS threshold voltages and other electrical\ncharacteristics to vary with the distance of the transistor to the well edge.\n\nIn some instances Vt has been found to increase by as much as 50mV as the device moves closer to\nthe edge.\n\nIf this isn't taken into account such things as current mirrors can be shifted out of saturation leading\nto circuit failure.\n\nSo how is it fixed?\n\nThe layout engineer must insure that the mos devices either experience the same WPE effect or\nmust ensure that there is enough of a distance from the well edge so as to negate the effects of\nWPE. Characterisation tests reveal that 2-5 microns should be sufficient.\n\n\n\n\nICMaskDesign Adrian O'Shaughnessy\n ","RF Layout at 90 Nanometres\nINTRO\nGood layout practice at high frequencies is extremely important because bad layout can and will\nintroduce parasitics that may result in extra current needed to drive these parasitic loads.\n\nIt could also of course stop your circuit from working at all as it may limit it's frequency response.\n\nInterconnect\n\nLets look at the parasitics that's introduced by interconnect.\n\nEvery single piece of interconnect we put down will have an associated parasitic resistance. This\nresistance is calculated by multiplying it's sheet resistance by it's length over width – Rs * (L/W)\n\nInterconnect will also introduce different types of parasitic capacitance such as parallel plate,\nFringe and cross coupling which will all have a combinational affect and create one overall parasitic\ncapacitance.\n\nSo have can we improve on the parasitic resistance?\n\n 1. Reduce Length. If we properly floorplan our blocks it should be possible to minimise the\n interconnect between them.\n\n 2. Increase Width. Increasing the width will reduce the number of squares. It is common for\n differential signals to be wider than the minimum width.\n\n 3. Use Higher Layers. Higher metals have a lower sheet resistance than lower ones therefore\n reducing its overall resistance. This will also have the added advantage of decreasing the\n capacitance to substrate.\n\n\n\n\nICMaskDesign Adrian O'Shaughnessy\n ","Device Parasitics\n\nINTRO\n\nWhen we put down a device in layout we introduce parasitics. Simple as that. So what happens\nwhen we put down a mos device?\n\nSimple MOS Parasitics\n\nWe introduce a whole host of resistive and capacitive parasitics and as a layout engineer there\nare some on these parasitics that can be optimised and there's some that can't.\n\nParasitics that we can't optimise are directly related to the length of the device which we can't\nchange.\n\nWe can optimise the gate resistance by tying both ends of the poly in metal thereby connecting\nanother resistor, in this case metal1, in parallel with in.\n\nConnecting the gate in metal will improve the resistance by 200% - 400%.\n\nWe can improve the drain and source parasitic capacitance by folding the device. But which one do\nwe optimise? This is particularly done on differential pairs. If we optimise the source area then\nwe're optimising a region that is going to ground if it's an NMOS device. Any good?\n\nIf we optimise the drain area then we're optimising the output node which in RF circuits is highly\nsensitive to capacitance. So it's best to optimise this.\n\nWe can also improve the substrate resistance by putting in lots of well contacts. This will help\nprevent against latch-up and also serve as noise protection.\n\n\n\n\nICMaskDesign Adrian O'Shaughnessy\n "],"twitterShareUrl":"https://twitter.com/intent/tweet?via=SlideShare\u0026text=Nmi+Presentation+Sept+2007+%23analog+%23layout+https%3A%2F%2Fwww.slideshare.net%2FAdrianOShaughnessy%2Fnmi-presentation-sept-2007","type":"document","viewStats":{"views":867,"viewsFromEmbeds":105,"topEmbeds":[]},"recommendationsByLocation":{"rightRail":[{"algorithmId":"5","displayTitle":"NT_N Guard Ring Noise Analysis","isSavedByCurrentUser":false,"pageCount":13,"score":0.850235,"slideshowId":"33214012","sourceName":"cm_interact","strippedTitle":"ntn-moat-noiseanalysis","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/linkedinmoatnoiseanalysis-signed-140407045535-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The purpose of this report is to provide a detailed example and analysis of a N_TN guard ring structure. This scheme could be used to separate the analogue and digital domains on chip, and thus used for noise attenuation and noise collection.\r\n\r\nFurthermore this report will hypothesis additional noise performance improvements that could be made for added noise isolation. \r\n","tags":["guard rings","ic mask designer","noise"],"url":"https://www.slideshare.net/slideshow/ntn-moat-noiseanalysis/33214012","userLogin":"AdrianOShaughnessy","userName":"AdrianOShaughnessy","viewCount":11192},{"algorithmId":"5","displayTitle":"WPE","isSavedByCurrentUser":false,"pageCount":2,"score":0.824224,"slideshowId":"912927","sourceName":"cm_interact","strippedTitle":"WPE","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/WPE-123184826661-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document discusses the Well Proximity Effect (WPE) where transistors located near the edge of a well will have different thresholds and currents compared to those located remotely from the edge. The WPE effect occurs for all types of MOS transistors including standard, high, low, and thick/thin oxide devices. It provides a diagram from an IC Mask Design course illustrating the WPE effect.","tags":[],"url":"https://www.slideshare.net/slideshow/WPE/912927","userLogin":"AdrianOShaughnessy","userName":"AdrianOShaughnessy","viewCount":6275},{"algorithmId":"5","displayTitle":"Double patterning for 32nm and beyond","isSavedByCurrentUser":false,"pageCount":10,"score":0.731983,"slideshowId":"30955526","sourceName":"cm_interact","strippedTitle":"double-patterning-for-32nm-and-beyond","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/presentationdp-140207121538-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Multiple patterning is a class of technologies for manufacturing integrated circuits (ICs), developed for photolithography to enhance the feature density. The simplest case of multiple patterning is double patterning, where a conventional lithography process is enhanced to produce double the expected number of features. The resolution of a photoresist pattern is believed to blur at around 45 nm half-pitch. For the semiconductor industry, therefore, double patterning was introduced for the 32 nm half-pitch node and below. This presentation gives us an insight of why multiple patterning is an important to give us a better resolution below 32nm. ","tags":["double patterning","resolution","32 nm"],"url":"https://www.slideshare.net/slideshow/double-patterning-for-32nm-and-beyond/30955526","userLogin":"ManikandanSampathkum","userName":"Manikandan Sampathkumar","viewCount":5799},{"algorithmId":"5","displayTitle":"Double Patterning (4/2 update)","isSavedByCurrentUser":false,"pageCount":28,"score":0.719853,"slideshowId":"3621214","sourceName":"cm_interact","strippedTitle":"double-patterning-3621214","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/3621214-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document discusses double patterning lithography techniques. It introduces how optical lithography is approaching its limits and double patterning is needed for smaller feature sizes. It describes the double patterning process and challenges including feature distortion and decreased yield. The document outlines techniques for polygon cutting, priority search trees, and decomposing conflict graphs into tri-connected components to solve the layout splitting problem. Experimental results on test cases including a 320k polygon design show the method achieves 3-10x speedup.","tags":[],"url":"https://www.slideshare.net/slideshow/double-patterning-3621214/3621214","userLogin":"luk036","userName":"Danny Luk","viewCount":1842},{"algorithmId":"5","displayTitle":"Introduction to FINFET, Details of FinFET","isSavedByCurrentUser":false,"pageCount":16,"score":0.709441,"slideshowId":"239800902","sourceName":"cm_interact","strippedTitle":"introduction-to-finfet-details-of-finfet","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/finfetppt-201205162426-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"1) The document discusses FinFET, a type of non-planar transistor used in modern semiconductor fabrication. It describes the construction of FinFET which involves etching fins on an SOI substrate and wrapping gates around the fins. \n\n2) FinFET works by having an elevated fin-shaped channel that the gate wraps around. This allows FinFET to operate at lower voltage and offer higher drive current compared to planar transistors. \n\n3) FinFET technology is being widely adopted in integrated circuits due to advantages like suppressed short channel effect, better drive current, lower leakage power, and no random dopant fluctuation.","tags":["technology","engineering","science"],"url":"https://www.slideshare.net/slideshow/introduction-to-finfet-details-of-finfet/239800902","userLogin":"justingeorge55","userName":"Justin George","viewCount":2139},{"algorithmId":"5","displayTitle":"optimazation of standard cell layout","isSavedByCurrentUser":false,"pageCount":24,"score":0.706515,"slideshowId":"70517450","sourceName":"cm_interact","strippedTitle":"optimazation-of-standard-cell-layout-70517450","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/8d1d2c82-57db-4662-b92e-d5dbe95d951e-161229063603-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document discusses various layout optimizations that can be made to standard cells to reduce both internal power and area. These include removing \"hammer head\" structures to decrease transistor length, moving gate contacts over active areas to reduce transistor height, and reducing source/drain capacitances to decrease dynamic current without impacting speed. Post-layout simulations showed a new D flip-flop design with these optimizations reduced internal power by 20% while maintaining clock-to-Q delay, and improved saturation current by 15-50% while reducing area by 20%.","tags":[],"url":"https://www.slideshare.net/slideshow/optimazation-of-standard-cell-layout-70517450/70517450","userLogin":"EERYashnagaria","userName":"E ER Yash nagaria","viewCount":4475},{"algorithmId":"5","displayTitle":"Finfet","isSavedByCurrentUser":false,"pageCount":25,"score":0.701781,"slideshowId":"47143716","sourceName":"cm_interact","strippedTitle":"finfet-47143716","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/finfet-150418093709-conversion-gate01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document discusses the history and development of FinFET transistors. FinFETs were developed to overcome short channel effects by using a thin silicon fin as the channel between the source and drain. This allows the gate to control the channel from both sides and edges of the fin. FinFET fabrication involves depositing fins using electron beam lithography then depositing a gate material around the fins. FinFETs suppress short channel effects and allow for higher density transistors compared to planar MOSFETs, though they also have some disadvantages like reduced mobility.","tags":[],"url":"https://www.slideshare.net/slideshow/finfet-47143716/47143716","userLogin":"AdityaSingh155","userName":"Aditya Singh","viewCount":9574},{"algorithmId":"5","displayTitle":"Analog Layout design ","isSavedByCurrentUser":false,"pageCount":78,"score":0.700633,"slideshowId":"29991014","sourceName":"cm_interact","strippedTitle":"analog-design-cit-f","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/analogdesigncitf-140114022933-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document provides an overview of analog layout design. It discusses that analog circuits require careful attention to geometry during layout due to process variations. The analog design flow includes electrical design, physical design involving layout, and fabrication/testing. Key considerations for analog layout include minimizing parasitic resistances and capacitances, reducing noise, and ensuring matching between identical components using techniques like common-centroid layout. Resistors and capacitors must be carefully laid out to minimize non-ideal effects and provide accurate values.","tags":["analog design","layout rules"],"url":"https://www.slideshare.net/slideshow/analog-design-cit-f/29991014","userLogin":"slpinjare","userName":"slpinjare","viewCount":63049}],"whatsHot":[{"algorithmId":"5","displayTitle":"Matching concept in Microelectronics","isSavedByCurrentUser":false,"pageCount":24,"score":0.700058,"slideshowId":"237111064","sourceName":"cm_interact","strippedTitle":"matching-concept-in-microelectronics","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/microelectronicsmatchingpresentation3matchingied3030harishivem177319-200721134926-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This presentation speaks about type of matching in microelectronics. Dependencies of matching are discussed.","tags":["matching","microelectronics","esd"],"url":"https://www.slideshare.net/slideshow/matching-concept-in-microelectronics/237111064","userLogin":"HarishSingh8","userName":"Tallinn University of Technology","viewCount":1646},{"algorithmId":"5","displayTitle":"Layout02 (1)","isSavedByCurrentUser":false,"pageCount":38,"score":0.699474,"slideshowId":"58842369","sourceName":"cm_interact","strippedTitle":"layout02-1","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/layout021-160229081601-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document discusses the layout of analog CMOS integrated circuits. It focuses on the layout of transistors and basic cells. Key topics covered include:\n\n- Layout of a single transistor, use of multiple fingers, and interdigitated transistors for matching. \n\n- Common centroid layouts and dummy devices to reduce mismatch. \n\n- Ensuring matched interconnect resistance, capacitance, and parasitics.\n\n- Stacked layout of analog cells with stick diagrams to represent multiple transistors. \n\n- Two examples of laying out basic cells - a two-stage op-amp and folded cascode. Design considerations like transistor sizing and grouping are discussed.","tags":[],"url":"https://www.slideshare.net/venkat1234_nxp/layout02-1","userLogin":"venkat1234_nxp","userName":"venkat1234_nxp","viewCount":11355},{"algorithmId":"5","displayTitle":"Latch up","isSavedByCurrentUser":false,"pageCount":4,"score":0.698925,"slideshowId":"26735030","sourceName":"cm_interact","strippedTitle":"latch-up","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/latch-up-131001053300-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Latch-up occurs in CMOS chips due to the interaction of parasitic bipolar transistors that form a silicon-controlled rectifier between the power and ground rails. This can cause excessive currents and potentially damage devices. Latch-up can be triggered by disturbances that increase the collector current of one of the parasitic transistors, activating positive feedback between the transistors. Guidelines for preventing latch-up include using guard rings connected to power and ground around transistors to reduce resistance and capture minority carriers, as well as placing wells and substrate contacts close to transistor sources.","tags":[],"url":"https://www.slideshare.net/slideshow/latch-up/26735030","userLogin":"ishan111","userName":"ishan111","viewCount":9324},{"algorithmId":"5","displayTitle":"IC Technology ","isSavedByCurrentUser":false,"pageCount":154,"score":0.69658,"slideshowId":"59364472","sourceName":"cm_interact","strippedTitle":"ic-technology","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/ictechnologysachin-160310103932-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document discusses integrated circuit technology. It begins with an overview of the IC market breakdown by sector. It then discusses advantages of ICs such as smaller size, higher speed, lower power consumption compared to discrete components. The document provides a history of important IC inventions from 1904 to the present. It also discusses transistor scaling that has allowed achieving more complex ICs through reduced dimensions over time. Finally, it covers different IC design styles such as full custom, standard cell, gate array, and FPGA and their tradeoffs in terms of performance, cost, area, and time-to-market.","tags":[],"url":"https://www.slideshare.net/slideshow/ic-technology/59364472","userLogin":"sdpable","userName":"sdpable","viewCount":11322},{"algorithmId":"5","displayTitle":"Ic tech unit 5- VLSI Process Integration","isSavedByCurrentUser":false,"pageCount":224,"score":0.690273,"slideshowId":"70256121","sourceName":"cm_interact","strippedTitle":"ic-tech-unit-5-vlsi-process-integration","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/ictechunit5-161219055549-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"junction oxide and isolation techniques, LOCOS, Metallization, NMOS and CMOS technology, FAult diagnosis","tags":[],"url":"https://www.slideshare.net/slideshow/ic-tech-unit-5-vlsi-process-integration/70256121","userLogin":"kritickasharma","userName":"kriticka sharma","viewCount":7542},{"algorithmId":"5","displayTitle":"MOSFET, SOI-FET and FIN-FET-ABU SYED KUET","isSavedByCurrentUser":false,"pageCount":60,"score":0.690257,"slideshowId":"236290962","sourceName":"cm_interact","strippedTitle":"mosfet-soifet-and-finfet","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/mosfetsoi-fetandfinfet-200627074207-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document provides an overview of the history and scaling of transistors and integrated circuits. It discusses how vacuum tubes were replaced by transistors, with the first transistor invented in 1947 and the first integrated circuit in 1958. It describes how continuous scaling and improvements in silicon manufacturing have led to billions of transistors being integrated onto a single chip today. The document then discusses different transistor technologies, including MOSFETs, and how scaling to smaller sizes introduced challenges like short channel effects that new transistor designs like FinFETs help address.","tags":[],"url":"https://www.slideshare.net/slideshow/mosfet-soifet-and-finfet/236290962","userLogin":"syedsumon","userName":"A. S. M. Jannatul Islam","viewCount":2454},{"algorithmId":"5","displayTitle":"Second order effects","isSavedByCurrentUser":false,"pageCount":4,"score":0.689088,"slideshowId":"89683801","sourceName":"cm_interact","strippedTitle":"second-order-effects","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/secondordereffects-180305173314-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document discusses four topics related to transistors:\n1. Threshold voltage is the minimum gate voltage needed to create a conducting path between source and drain, and depends on oxide thickness, temperature, and random dopant fluctuations. \n2. Latchup refers to a short circuit formed between power and ground rails in an integrated circuit, caused by interaction between parasitic bipolar transistors.\n3. Electromigration is the forced movement of metal ions due to an electric field, with atoms traveling toward the positive conductor end and vacancies toward the negative end.\n4. Mobility degradation occurs due to lateral and vertical electric fields scattering carriers, reducing surface mobility as channel lengths shrink.","tags":["vlsi","low power"],"url":"https://www.slideshare.net/slideshow/second-order-effects/89683801","userLogin":"rppvch","userName":"PRAVEEN KUMAR CHITLURI","viewCount":6337},{"algorithmId":"5","displayTitle":"Lightly Doped Drain","isSavedByCurrentUser":false,"pageCount":10,"score":0.687335,"slideshowId":"79861534","sourceName":"cm_interact","strippedTitle":"lightly-doped-drain","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/ldd-170917150611-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This presentation discusses about the need for Lightly Doped Drain. Also, why are LDD implants required in nMOS but not in pMOS","tags":["lightly doped drain","ion implantation","vlsi"],"url":"https://www.slideshare.net/slideshow/lightly-doped-drain/79861534","userLogin":"shudhanshu29","userName":"Sudhanshu Janwadkar","viewCount":12837},{"algorithmId":"5","displayTitle":"crosstalk minimisation using vlsi","isSavedByCurrentUser":false,"pageCount":29,"score":0.687255,"slideshowId":"26184039","sourceName":"cm_interact","strippedTitle":"crosstalk-minimisation-using-vlsi-26184039","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/vlsialmostfinal-130913214006-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document summarizes techniques for minimizing crosstalk in VLSI designs. It discusses the VLSI design cycle and physical design cycle. Routing is a key stage that involves global and detailed routing. Crosstalk occurs due to mutual inductance and capacitance between wires and can affect signal integrity, delay, and timing. Basic approaches to reduce crosstalk include segregating wires, increasing spacing between wires, using ground shields, optimizing the net ordering and layer assignments. Specific techniques mentioned are widening spacing, minimizing wire heights and lengths of parallel runs, using differential routing, and routing orthogonal layers.","tags":[],"url":"https://www.slideshare.net/subhradeeptoton/crosstalk-minimisation-using-vlsi-26184039","userLogin":"subhradeeptoton","userName":"subhradeep mitra","viewCount":5093},{"algorithmId":"5","displayTitle":"Mosfet","isSavedByCurrentUser":false,"pageCount":14,"score":0.680711,"slideshowId":"124149811","sourceName":"cm_interact","strippedTitle":"mosfet-124149811","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/mosfet-181127115058-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document discusses the I-V characteristics of MOSFETs, which occur in three regions: cutoff, linear, and saturation. In cutoff region (VGS \u003c VT), no channel is formed and IDS = 0. In linear region (VGS \u003e VT, VDS \u003c VGS - VT), IDS varies linearly with VDS. In saturation region (VGS \u003e VT, VDS \u003e VGS - VT), further increases in VDS do not increase IDS due to channel pinch-off. Equations are provided to describe IDS in each region.","tags":["mosfet","charity","charteristics"],"url":"https://www.slideshare.net/slideshow/mosfet-124149811/124149811","userLogin":"sarunkutti","userName":"sarunkutti","viewCount":2086},{"algorithmId":"5","displayTitle":"bandgap ppt","isSavedByCurrentUser":false,"pageCount":19,"score":0.678822,"slideshowId":"57274756","sourceName":"cm_interact","strippedTitle":"bandgap-ppt","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/79768869-c307-4439-87aa-81b230495570-160120124422-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document describes the implementation of a bandgap reference circuit. It was designed by M. Lingadhar Reddy under the guidance of Mr. G. Shiva Kumar at GITAM University in Hyderabad, India from 2013-2015. The document outlines the basic operation of a bandgap reference circuit, which produces a reference voltage that is stable over changes in temperature, supply voltage, and process parameters. It discusses the tool and technology used, different approaches to bandgap references, and details the design and simulation results of a two-stage CMOS operational amplifier and final bandgap reference circuit implemented in a 90nm CMOS technology using Cadence Virtuoso.","tags":[],"url":"https://www.slideshare.net/slideshow/bandgap-ppt/57274756","userLogin":"lingadharreddy","userName":"lingadhar reddy","viewCount":4874},{"algorithmId":"5","displayTitle":"Vlsi design and fabrication ppt","isSavedByCurrentUser":false,"pageCount":40,"score":0.678216,"slideshowId":"47160694","sourceName":"cm_interact","strippedTitle":"vlsi-design-and-fabrication-ppt","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/vlsidesignandfabricationppt-150419062609-conversion-gate01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document provides information about a paper presentation on VLSI design and fabrication by two students. It includes an outline of topics to be covered such as introduction to VLSI, MOS transistors, CMOS circuits, and fabrication. The presentation aims to provide an introduction to VLSI design including how MOS transistors work and are used to build logic gates, as well as the process of designing masks and layouts for chips. It also gives an overview of the fabrication process used to manufacture chips.","tags":[],"url":"https://www.slideshare.net/slideshow/vlsi-design-and-fabrication-ppt/47160694","userLogin":"manjushreemm","userName":"Manjushree Mashal","viewCount":15216},{"algorithmId":"5","displayTitle":"Introduction to FinFET","isSavedByCurrentUser":false,"pageCount":14,"score":0.672629,"slideshowId":"89025450","sourceName":"cm_interact","strippedTitle":"introduction-to-finfet-89025450","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/introductiontofinfet-180227020253-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"For more information regarding the \"Construction of finFET\" you can contact through mail (manishkenchi111296@gmail.com)","tags":["finfet","history","construction"],"url":"https://www.slideshare.net/slideshow/introduction-to-finfet-89025450/89025450","userLogin":"ManishKenchi","userName":"ManishKenchi","viewCount":12342},{"algorithmId":"5","displayTitle":"BGR","isSavedByCurrentUser":false,"pageCount":78,"score":0.671142,"slideshowId":"57274715","sourceName":"cm_interact","strippedTitle":"bgr-57274715","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/fe67e1bd-c993-4e70-9b81-ab711473d201-160120124327-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document describes the implementation of a bandgap reference circuit. It begins by acknowledging those who supported the project. It then provides an abstract stating that bandgap reference circuits are used to generate stable reference voltages and currents on integrated circuits. The main goal of the project was to understand bandgap reference design and limitations, and implement a bandgap reference circuit in a 90nm CMOS technology using CADENCE.","tags":[],"url":"https://www.slideshare.net/slideshow/bgr-57274715/57274715","userLogin":"lingadharreddy","userName":"lingadhar reddy","viewCount":6561},{"algorithmId":"5","displayTitle":"VLSI Design(Fabrication)","isSavedByCurrentUser":false,"pageCount":44,"score":0.665933,"slideshowId":"49132602","sourceName":"cm_interact","strippedTitle":"vlsi-designfabrication","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/vlsi2-150608165741-lva1-app6891-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"this ppt provides fabrication on SI wafer, CMOS fabrication process, basic CMOS inverter, future, application, advantage, disadvantage etc.","tags":[],"url":"https://www.slideshare.net/slideshow/vlsi-designfabrication/49132602","userLogin":"TrijitMallick","userName":"Trijit Mallick","viewCount":4893},{"algorithmId":"5","displayTitle":"Short channel effects","isSavedByCurrentUser":false,"pageCount":11,"score":0.664976,"slideshowId":"115332110","sourceName":"cm_interact","strippedTitle":"short-channel-effects-115332110","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/shortchanneleffects-180919050414-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document discusses short channel effects that occur in MOSFET devices when the channel length decreases to the same order of magnitude as the source/drain junction depth. It describes five main short channel effects: drain induced barrier lowering, drain punch through, velocity saturation, impact ionization, and hot electron effects. For each effect, it provides an explanation of the physical phenomenon and how it impacts device performance as the channel length decreases. It concludes by listing three references for further reading on leakage current mechanisms and MOSFET modeling.","tags":[],"url":"https://www.slideshare.net/slideshow/short-channel-effects-115332110/115332110","userLogin":"aditiagrawal97","userName":"aditiagrawal97","viewCount":6551},{"algorithmId":"5","displayTitle":"Finfet Technology","isSavedByCurrentUser":false,"pageCount":23,"score":0.664918,"slideshowId":"227466347","sourceName":"cm_interact","strippedTitle":"finfet-technology","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/17304013-navya-200209170047-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document discusses FinFET technology. It begins with an introduction to FinFETs, explaining that they are a type of double-gate CMOS that offers advantages over traditional CMOS for scaling to short gate lengths. It then discusses why FinFET technology is needed as traditional CMOS scaling faces challenges from subthreshold and gate leakage. It provides details on double-gate FET structure and operation, including how it controls short-channel effects better than single-gate FETs. It also covers FinFET features, applications, challenges and concludes that FinFETs can help continue CMOS scaling if key issues like fin patterning and gate work functions are addressed.","tags":["finfet","vlsi design","cmos"],"url":"https://www.slideshare.net/slideshow/finfet-technology/227466347","userLogin":"SrinivasVasamsetti2","userName":"Srinivas Vasamsetti ","viewCount":3599},{"algorithmId":"5","displayTitle":"Vlsi 2","isSavedByCurrentUser":false,"pageCount":28,"score":0.661335,"slideshowId":"11350708","sourceName":"cm_interact","strippedTitle":"vlsi-2","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/vlsi2-120131080155-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document describes the key steps in CMOS fabrication:\n1. A p-type substrate is used, and n-well regions are defined through implantation to create isolation for p-MOSFETs. \n2. Active device regions and field oxide isolation are then patterned, followed by gate oxide growth and polysilicon deposition. \n3. Self-aligned implantation creates n-type and p-type source/drain regions. Additional metallization layers connect the transistors.","tags":[],"url":"https://www.slideshare.net/slideshow/vlsi-2/11350708","userLogin":"idris01111","userName":"idris01111","viewCount":6708},{"algorithmId":"5","displayTitle":"Powerplanning","isSavedByCurrentUser":false,"pageCount":22,"score":0.660512,"slideshowId":"16883536","sourceName":"cm_interact","strippedTitle":"powerplanning","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/powerplanning-130302112400-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"https://www.udemy.com/vlsi-academy\r\nUsually, while drawing any circuit on paper, we have only one 'vdd' at the top and one 'vss' at the bottom. But on a chip, it becomes necessary to have a grid structure of power, with more than one 'vdd' and 'vss'. The concept of power grid structure would be uploaded soon. It is actually the scaling trend that drives chip designers for power grid structure.\r\n","tags":[],"url":"https://www.slideshare.net/slideshow/powerplanning/16883536","userLogin":"vlsisyst","userName":"VLSI SYSTEM Design","viewCount":4262},{"algorithmId":"5","displayTitle":"Cmos fabrication","isSavedByCurrentUser":false,"pageCount":20,"score":0.658222,"slideshowId":"71314286","sourceName":"cm_interact","strippedTitle":"cmos-fabrication-71314286","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/cmosfabrication-170124042413-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document summarizes the basic steps in CMOS fabrication: (1) dopants are diffused or implanted into a silicon wafer to form n-well and p-substrate regions; (2) a gate oxide and polysilicon layer are deposited and patterned to form transistors; (3) n+ and p+ diffusion regions are implanted or diffused to form sources, drains and contacts; (4) a metallization process deposits aluminum wires to connect the transistors together into circuits. The process involves repeatedly depositing materials and using lithography to pattern layers from the bottom up on the silicon wafer.","tags":[],"url":"https://www.slideshare.net/slideshow/cmos-fabrication-71314286/71314286","userLogin":"KANAGARAJT4","userName":"KANAGARAJ T","viewCount":4696}],"alsoLiked":[{"algorithmId":"21","displayTitle":"Concept note env laboratory infrastructure-ppp min of env","isSavedByCurrentUser":false,"pageCount":7,"score":0,"slideshowId":"48790598","sourceName":"li_interact","strippedTitle":"concept-note-env-laboratory-infrastructureppp-min-of-env-48790598","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/conceptnote-envlaboratoryinfrastructure-pppminofenv-150530173651-lva1-app6892-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The role of Environmental Analytical Laboratories in Environmental Management and Sustainable Development","tags":["development","technology","environment"],"url":"https://www.slideshare.net/slideshow/concept-note-env-laboratory-infrastructureppp-min-of-env-48790598/48790598","userLogin":"canopyg","userName":"canopyg","viewCount":300},{"algorithmId":"21","displayTitle":"Responsiv Design, WordCampCPH 14","isSavedByCurrentUser":false,"pageCount":31,"score":0,"slideshowId":"35094976","sourceName":"li_interact","strippedTitle":"responsiv-design-wordcampcph-14","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/wordcamp-14-140525071328-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Danish speak on the concept of responsive webdesign, co","tags":["wordpress","responsive web design","wordcamp"],"url":"https://www.slideshare.net/slideshow/responsiv-design-wordcampcph-14/35094976","userLogin":"CathrineFallesen","userName":"Anne Cathrine Wind Fallesen","viewCount":1581},{"algorithmId":"21","displayTitle":"Unit 6 Anthony Tucci","isSavedByCurrentUser":false,"pageCount":12,"score":0,"slideshowId":"66562383","sourceName":"li_interact","strippedTitle":"unit-6-anthony-tucci-66562383","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/a15fa6cf-c0c3-4ee3-bf15-9cd9f15c4973-160929145411-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document proposes solutions to improve awareness of McDonald's tuition assistance program called Archways. It recommends (1) enhancing in-store flyers and posters to specify who is eligible and how much assistance is provided, and (2) marketing the program on drive-thru menu boards which 70% of customers see, as this could increase both employee benefits and McDonald's profits. Background information establishes that full-time employees are currently aware of Archways but more promotion is needed as tuition assistance programs have proven successful for other companies like UPS.","tags":[],"url":"https://www.slideshare.net/slideshow/unit-6-anthony-tucci-66562383/66562383","userLogin":"AnthonyTucci3","userName":"Anthony Tucci","viewCount":125},{"algorithmId":"21","displayTitle":"Comercio","isSavedByCurrentUser":false,"pageCount":4,"score":0,"slideshowId":"66560792","sourceName":"li_interact","strippedTitle":"comercio-66560792","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/comercio-160929142410-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"El documento habla sobre las ventas de servicios, los sistemas de pago en tiendas online y formas de promocionar una tienda virtual. Las ventas de servicios buscan convencer al cliente de que el servicio o producto resolverá su problema mediante estrategias de confianza, seguridad y garantía. Los sistemas de pago en tiendas online incluyen TPV virtuales, PayPal, transferencia bancaria y pago contra reembolso. Se recomienda promocionar la tienda virtual a través de posicionamiento en buscadores, publicidad online y offline","tags":[],"url":"https://es.slideshare.net/slideshow/comercio-66560792/66560792","userLogin":"RoyPortillaCanales","userName":"Roy Portilla Canales","viewCount":111},{"algorithmId":"21","displayTitle":"Beautiful Mang-Sang Beach and Seol-Ak-San 20-21 July 2014","isSavedByCurrentUser":false,"pageCount":78,"score":0,"slideshowId":"38904563","sourceName":"li_interact","strippedTitle":"beautiful-mangsang-beach-and-seolaksan-2021-july-2014","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/mang-sangbeachandseol-ak-san20-21july2014-140910011456-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Beautiful Mang-Sang Beach and Seol-Ak-San 20-21 July 2014\r\n","tags":["korea.","seol-ak-san","kang-won-do province"],"url":"https://www.slideshare.net/slideshow/beautiful-mangsang-beach-and-seolaksan-2021-july-2014/38904563","userLogin":"sjleesj","userName":"QSRC NITA Dongguk","viewCount":516},{"algorithmId":"21","displayTitle":"Brand Profile 2016_email2","isSavedByCurrentUser":false,"pageCount":40,"score":0,"slideshowId":"59873394","sourceName":"li_interact","strippedTitle":"brand-profile-2016email2","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/aa022aa7-3ed8-4e91-803a-55db530222a2-160322095011-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Africology is a leading natural skincare company based in South Africa that offers luxury natural and eco-friendly products. The brand is committed to using only 100% natural and biodegradable ingredients in its products and sustainable practices. It offers a full range of skincare products and spa treatments inspired by African wisdom and traditions. The company was founded by Renchia Droganis and has grown successfully both in South Africa and internationally while staying true to its holistic and ethical philosophy.","tags":[],"url":"https://www.slideshare.net/slideshow/brand-profile-2016email2/59873394","userLogin":"LyleJulius","userName":"Lyle Julius","viewCount":295},{"algorithmId":"21","displayTitle":"Fisiopatologia megacolon","isSavedByCurrentUser":false,"pageCount":6,"score":0,"slideshowId":"64737578","sourceName":"li_interact","strippedTitle":"fisiopatologia-megacolon","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/fisiopatologiamegacolon-160805154644-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"La enfermedad de Hirschsprung causa que los neuroblastos primitivos no migren correctamente durante el desarrollo fetal, dejando un segmento del intestino sin ganglios nerviosos. Esto altera la motilidad intestinal y causa obstrucción, afectando comúnmente el colon sigmoides en un 75% de los casos. Las anomalías anatómicas en la inervación producen diversos grados de obstrucción funcional intestinal debido a la pérdida de la motilidad coordinada y la relajación eficiente del intestino.","tags":[],"url":"https://es.slideshare.net/slideshow/fisiopatologia-megacolon/64737578","userLogin":"SharonQuimis","userName":"Sharon Quimis","viewCount":943},{"algorithmId":"21","displayTitle":"Eu rtbf criteria","isSavedByCurrentUser":false,"pageCount":20,"score":0,"slideshowId":"42224251","sourceName":"li_interact","strippedTitle":"eu-rtbf-criteria","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/eurtbfcriteria-141201111411-conversion-gate01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document provides guidelines for implementing a European Court of Justice ruling regarding a person's right to request removal of search engine links to personal information. It summarizes that search engines are data controllers subject to EU data protection law. When a search is done by name, the search engine processing can significantly affect privacy rights by enabling users to compile an extensive profile about a person. The rights of the data subject generally take priority over the economic interests of the search engine or public's interest in the information. National data protection authorities will consider various criteria on a case-by-case basis when evaluating removal requests.","tags":["eu","privacy","right to be forgotten"],"url":"https://www.slideshare.net/slideshow/eu-rtbf-criteria/42224251","userLogin":"gesterling","userName":"Greg Sterling","viewCount":6086},{"algorithmId":"21","displayTitle":"toronto-magazine-food-drink-issue","isSavedByCurrentUser":false,"pageCount":28,"score":0,"slideshowId":"62917413","sourceName":"li_interact","strippedTitle":"torontomagazinefooddrinkissue-62917413","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/0a00ef9f-e82a-4d91-9bc8-5f0d0488e793-160610031806-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document is a magazine article promoting Toronto's food and drink scene. It provides information on various culinary festivals in Toronto including Taste of Toronto, Toronto Food \u0026 Wine Festival, Summerlicious, Toronto Beer Week, and the Gourmet Food \u0026 Wine Expo. It also profiles the city's thriving brunch culture and provides recommendations for top brunch spots such as Mildred's Temple Kitchen, Rose and Sons, Saving Grace, and Lady Marmalade. The article highlights Toronto's growing maple water trend and includes a recipe for maple coffee. It concludes by sharing chef Christina Tosi's favorite Toronto restaurants - Dumpling House and Buca.","tags":[],"url":"https://www.slideshare.net/slideshow/torontomagazinefooddrinkissue-62917413/62917413","userLogin":"YukiHayashi5","userName":"Yuki Hayashi","viewCount":299},{"algorithmId":"21","displayTitle":"P2 colem","isSavedByCurrentUser":false,"pageCount":20,"score":0,"slideshowId":"9856858","sourceName":"li_interact","strippedTitle":"p2-colem","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/p2colem-111024080924-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document describes various Mayan-themed products for sale, including a luxury bed modeled after those of Mayan kings, a shower faucet inspired by the rain god Chac, herbal medicine based on the goddess Ix Chel, and a toy bird that mimics the real quetzal. Prices range from $13.99 for a pocket calendar to $5,000 for a jewelry-encrusted good luck charm. The products are aimed at commemorating Mayan culture and mythology.","tags":[],"url":"https://www.slideshare.net/slideshow/p2-colem/9856858","userLogin":"worldcultures6","userName":"worldcultures6","viewCount":274},{"algorithmId":"21","displayTitle":"2016 SFU Pre-Game","isSavedByCurrentUser":false,"pageCount":4,"score":0,"slideshowId":"66818865","sourceName":"li_interact","strippedTitle":"2016-sfu-pregame","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/5cee643a-ea4a-4e59-8d11-773470aa25b1-161006170522-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"","tags":[],"url":"https://www.slideshare.net/slideshow/2016-sfu-pregame/66818865","userLogin":"BrianHarris51","userName":"Brian Harris","viewCount":81},{"algorithmId":"21","displayTitle":"Casey shane pcp-o_week4_final_ppp_visuals","isSavedByCurrentUser":false,"pageCount":26,"score":0,"slideshowId":"63301200","sourceName":"li_interact","strippedTitle":"casey-shane-pcpoweek4finalpppvisuals","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/caseyshanepcp-oweek4finalpppvisuals-160621162954-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document is a collection of photos with attribution credits. Most of the photos are credited to various photographers on Flickr and have Creative Commons licenses attached. There are also a few photos credited to Shane Casey without additional details provided. The document also includes one quote from Shigeru Miyamoto about players being artists within games.","tags":[],"url":"https://www.slideshare.net/slideshow/casey-shane-pcpoweek4finalpppvisuals/63301200","userLogin":"ShaneCasey3","userName":"Shane Casey","viewCount":159},{"algorithmId":"21","displayTitle":"Affordable Care Act Presentation for State Library of Ohio","isSavedByCurrentUser":false,"pageCount":22,"score":0,"slideshowId":"25716080","sourceName":"li_interact","strippedTitle":"affordable-care-act-presentation-for-state-library-of-ohio","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/acapresentationforstatelibrarywaudio-130829073823-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document discusses considerations for librarians assisting patrons with implementation of the Affordable Care Act. It emphasizes the importance of protecting patron privacy, having difficult yet tactful conversations, and knowing where to draw the line in terms of advice. Librarians should familiarize themselves with ACA resources, maintain patron confidentiality, and approach questions with common sense, discretion and sensitivity. The goal is to provide authoritative information to patrons while observing ethical standards of privacy and neutrality.","tags":["patient protection and affordable care act","ohio","libraries"],"url":"https://www.slideshare.net/slideshow/affordable-care-act-presentation-for-state-library-of-ohio/25716080","userLogin":"DonBoozer","userName":"Don Boozer","viewCount":2183},{"algorithmId":"21","displayTitle":"Empire marquee catalog","isSavedByCurrentUser":false,"pageCount":6,"score":0,"slideshowId":"66850424","sourceName":"li_interact","strippedTitle":"empire-marquee-catalog","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/db539721-5810-417f-b89b-379b4386f9fa-161007094721-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document provides contact information for Empire Marquee, a company that rents out tents and event equipment. It lists the company's address, phone numbers, emails, and social media links. It then summarizes the types of tents and equipment available, including party tents, wedding tents, pagoda tents, gazebo tents, car parking shades, and event design and management services. The document emphasizes that Empire Marquee can provide the right size tent or equipment for events of any size.","tags":[],"url":"https://www.slideshare.net/slideshow/empire-marquee-catalog/66850424","userLogin":"EmpireMarqueePvtLtd","userName":"Empire Marquee Pvt Ltd","viewCount":444},{"algorithmId":"21","displayTitle":"Abortion quick facts","isSavedByCurrentUser":false,"pageCount":8,"score":0,"slideshowId":"5760112","sourceName":"li_interact","strippedTitle":"abortion-quick-facts","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/abortionquickfacts-101112171507-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"1. The document discusses several topics related to pregnancies resulting from rape and incest, including reliable estimates of such pregnancies, reasons why they result in lower rates of pregnancy, perspectives on allowing abortion in these cases, and testimony from victims. \n2. Statistics on the percentage of abortions performed for rape and incest are provided, estimated to be 1.5% and 0.5% respectively. However, these numbers are disputed by some as not being based on reliable evidence.\n3. Arguments presented against allowing abortion for rape and incest include that the unborn child is innocent and does not deserve to die for the crimes of the father, and that abortion can harm the emotional and psychological health of the woman. Vict","tags":[],"url":"https://www.slideshare.net/slideshow/abortion-quick-facts/5760112","userLogin":"ahmedbashu","userName":"Fakru Bashu","viewCount":918},{"algorithmId":"21","displayTitle":"1E - CP House Refurbishment","isSavedByCurrentUser":false,"pageCount":15,"score":0,"slideshowId":"5758924","sourceName":"li_interact","strippedTitle":"1e-cp-house-refurbishment","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/1e-cphouserefurbishment-101112141706-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Our UK offices have been in disarray for far too long while we’ve made adjustments, changes, and improvements to compliment our growing company! Our refurbishment is finally complete and we’re loving the improved space. We hope you love it, too!","tags":["office","1e"],"url":"https://www.slideshare.net/slideshow/1e-cp-house-refurbishment/5758924","userLogin":"1eNews","userName":"1E: Software Lifecycle Automation","viewCount":383},{"algorithmId":"21","displayTitle":"Pr агентства аиа 3013","isSavedByCurrentUser":false,"pageCount":12,"score":0,"slideshowId":"16840385","sourceName":"li_interact","strippedTitle":"pr-3013","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/pr3013-130228131802-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"","tags":[],"url":"https://www.slideshare.net/slideshow/pr-3013/16840385","userLogin":"RedKedsagency","userName":"Red Keds","viewCount":1354},{"algorithmId":"21","displayTitle":"Biblioteca Din Alexandria","isSavedByCurrentUser":false,"pageCount":68,"score":0,"slideshowId":"2779549","sourceName":"li_interact","strippedTitle":"biblioteca-din-alexandria","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/bibliotecadinalexandria-091226172732-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document discusses the new senior vice president of the Alexandria Library. It instructs readers to turn on their speakers but provides no additional details about the new SVP or their responsibilities. The document contains repetitive blank lines that do not convey meaningful information.","tags":[],"url":"https://www.slideshare.net/adameva/biblioteca-din-alexandria","userLogin":"adameva","userName":"adam eva","viewCount":531},{"algorithmId":"21","displayTitle":"Combicut DJ/NC Accessories","isSavedByCurrentUser":false,"pageCount":16,"score":0,"slideshowId":"2029810","sourceName":"li_interact","strippedTitle":"combicut-djnc-accessories","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/djnccombicutaccessories-090921071606-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"1. The document describes various accessories for waterjet cutting machines, including options for manual or motorized control of blade inclination, refrigeration systems, and worktop exchange systems.\n2. An automatic worktop exchange system is described that allows preparing one slab for cutting while another is being processed, increasing efficiency. \n3. A slab scanning system uses a digital camera and software to capture slab images and define outlines and defects to optimize cutting programs.","tags":["machine","cnc","water"],"url":"https://www.slideshare.net/slideshow/combicut-djnc-accessories/2029810","userLogin":"Breton_machines","userName":"Breton SpA","viewCount":599}],"similarTo":[{"algorithmId":"3","displayTitle":"B )mos transistor fabrication problem","isSavedByCurrentUser":false,"pageCount":11,"score":0.5494,"slideshowId":"16994087","sourceName":"cm_text","strippedTitle":"b-mos-transistor-fabrication-problem","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/bmostransistorfabricationproblem-130306231358-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document discusses CMOS transistor fabrication and scaling. It addresses two key problems with CMOS operation: latch-up and parasitic capacitance. Latch-up can permanently damage transistors, while parasitic capacitance limits high frequency performance. Methods to overcome these issues include latch-up protection circuits, increasing distances between wells/junctions, and partially disconnecting parasitic devices from ground terminals. CMOS technologies like P-well, N-well, and SOI were compared in terms of mitigating latch-up and parasitic capacitance. The document also covers MOS scaling theory and its impacts on circuit performance and power consumption over time as feature sizes decreased from submicron to deep submicron to nanotechnology levels.","tags":[],"url":"https://www.slideshare.net/slideshow/b-mos-transistor-fabrication-problem/16994087","userLogin":"virussalona","userName":"Viruss Alona","viewCount":2463},{"algorithmId":"3","displayTitle":"Vlsi interview questions1","isSavedByCurrentUser":false,"pageCount":31,"score":0.5415,"slideshowId":"25943220","sourceName":"cm_text","strippedTitle":"vlsi-interview-questions1","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/vlsiinterviewquestions1-130905223534--thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document contains interview questions and answers related to CMOS design. Some key topics covered include:\n\n1. Latch-up and how it can permanently damage a device due to excessive current flow. \n\n2. NAND gates are preferred over NOR gates in fabrication due to higher electron mobility and lower gate leakage in NAND structures.\n\n3. Noise margin is the minimum amount of noise that can be allowed on the input without affecting the output.","tags":[],"url":"https://www.slideshare.net/slideshow/vlsi-interview-questions1/25943220","userLogin":"sukeshprathap","userName":"SUKESH Prathap","viewCount":13857},{"algorithmId":"3","displayTitle":"CMOS VLSI design","isSavedByCurrentUser":false,"pageCount":22,"score":0.5238,"slideshowId":"5328783","sourceName":"cm_text","strippedTitle":"cmos-vlsi-design","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/piyush-100930205645-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document discusses CMOS VLSI design technology and future trends. It provides an overview of CMOS technology and basic MOSFET operation. It then discusses how nanotechnology and integrated tri-gate transistors can help address limitations of CMOS scaling by reducing feature sizes and parasitic leakage. The document concludes that continued CMOS scaling will eventually be limited and alternatives like nanotechnology may be needed to retain device characteristics at smaller sizes.","tags":["dtb"],"url":"https://www.slideshare.net/slideshow/cmos-vlsi-design/5328783","userLogin":"RajanKumar1","userName":"Rajan Kumar","viewCount":15894},{"algorithmId":"3","displayTitle":"Proximity effect","isSavedByCurrentUser":false,"pageCount":8,"score":0.5156,"slideshowId":"47337922","sourceName":"cm_text","strippedTitle":"proximity-effect","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/proximityeffect-150423103125-conversion-gate02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"theory about Facility Electrical Losses:\r\nProximity Effect, Skin Effect, and Eddy Current Losses and calculations with full illustrations","tags":["proximity effect","snist","eee"],"url":"https://www.slideshare.net/slideshow/proximity-effect/47337922","userLogin":"abhinaypotlabathini","userName":"Abhinay Potlabathini","viewCount":7796},{"algorithmId":"3","displayTitle":"My VLSI.pptx","isSavedByCurrentUser":false,"pageCount":73,"score":0.5146,"slideshowId":"255536378","sourceName":"cm_text","strippedTitle":"my-vlsipptx","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/myvlsi-230126132649-ea1decc1-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document provides an overview of VLSI design and MOS transistors. It discusses the basic steps of IC fabrication for PMOS, NMOS, CMOS, and BiCMOS processes. It also covers MOS transistor switches, including the MOSFET, transmission gate, and pass transistor logic. The document then examines the basic electrical properties of MOS and BiCMOS circuits, such as threshold voltage, body effect, and Ids-Vds relationships. It provides details on SOI fabrication processes and compares CMOS to bipolar technologies.","tags":["vlsi","ids vs vds"],"url":"https://www.slideshare.net/slideshow/my-vlsipptx/255536378","userLogin":"chiranjeevimuppala2","userName":"chiranjeevimuppala2","viewCount":630},{"algorithmId":"3","displayTitle":"Analog and digital circuit design in 65 nm CMOS end of the road.docx","isSavedByCurrentUser":false,"pageCount":7,"score":0.5132,"slideshowId":"267038511","sourceName":"cm_text","strippedTitle":"analog-and-digital-circuit-design-in-65-nm-cmos-end-of-the-roaddocx","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/analoganddigitalcircuitdesignin65nmcmosendoftheroad-240331104703-89c6aae7-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document summarizes challenges in analog and digital circuit design for 65nm CMOS technology. It discusses how leakage currents, process variability, and interconnect delays increase as technologies scale down, posing new problems. A panel of experts will discuss whether 65nm marks the \"end of the road\" for continued design benefits from technology scaling or if issues can be addressed.","tags":[],"url":"https://www.slideshare.net/slideshow/analog-and-digital-circuit-design-in-65-nm-cmos-end-of-the-roaddocx/267038511","userLogin":"ZHKhan15","userName":"ZHKhan15","viewCount":50},{"algorithmId":"3","displayTitle":"W04406104107","isSavedByCurrentUser":false,"pageCount":4,"score":0.5005,"slideshowId":"35249814","sourceName":"cm_text","strippedTitle":"w04406104107","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/w04406104107-140529023127-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology \u0026 science, Power Electronics, Electronics \u0026 Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing \u0026 Low Power VLSI Design etc.","tags":["ijera(www.ijera.com)international journal of engin"],"url":"https://www.slideshare.net/slideshow/w04406104107/35249814","userLogin":"ijeraeditor","userName":"IJERA Editor","viewCount":408},{"algorithmId":"3","displayTitle":"Design of Nanoscale 3-T DRAM using FinFET","isSavedByCurrentUser":false,"pageCount":5,"score":0.4998,"slideshowId":"34424416","sourceName":"cm_text","strippedTitle":"a0810105","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/a0810105-140508014508-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document describes the design of a 3-transistor (3T) dynamic random access memory (DRAM) cell using both CMOS and FinFET technologies at the 32nm node. Simulation results show that the FinFET-based DRAM cell has lower average power (9.5136uW vs 10.869uW), lower power dissipation (144.7353uW vs 235.2313uW), and much lower leakage power (2.4303nW vs 1.8781uW) compared to the CMOS-based cell. FinFET devices are able to better control short channel effects at small scales compared to planar CMOS, enabling lower power DRAM designs. The document provides","tags":["and power dissipation","cmos","finfet"],"url":"https://www.slideshare.net/slideshow/a0810105/34424416","userLogin":"IOSR","userName":"IOSR Journals","viewCount":470},{"algorithmId":"3","displayTitle":"Printed Circuit Board Design Techniques for EMC Compliance_20240220_145105_00...","isSavedByCurrentUser":false,"pageCount":30,"score":0.4989,"slideshowId":"266873472","sourceName":"cm_text","strippedTitle":"printed-circuit-board-design-techniques-for-emc-compliance202402201451050000pdf","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/printedcircuitboarddesigntechniquesforemccompliance202402201451050000-240319221459-0c65d3f0-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Key ways to ensure the purity of the power distribution system in a PCB design include:\n\n1. Controlling the impedance and capacitive loading of each trace path to maintain signal integrity and minimize interference. \n\n2. Maintaining the purity of the power and ground planes by preventing switching noise, externally induced RF fields, surge events, and other interference. \n\n3. Using board materials with low dielectric constants to allow for faster signal propagation speeds and reduced capacitance in trace paths.\n\n4. Minimizing crosstalk and interference through strategic design of signal and power paths.","tags":["libros"],"url":"https://www.slideshare.net/slideshow/printed-circuit-board-design-techniques-for-emc-compliance202402201451050000pdf/266873472","userLogin":"AlanGustavo13","userName":"AlanGustavo13","viewCount":165},{"algorithmId":"3","displayTitle":"INTER PHASE SPACERS ","isSavedByCurrentUser":false,"pageCount":17,"score":0.4986,"slideshowId":"47062091","sourceName":"cm_text","strippedTitle":"04inter-phase-spacers","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/04-150416041802-conversion-gate02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Composite inter phase separators have a great role to play to compact the lines and avoid flash-overs \u0026 short circuits resulting from conductor swing and galloping.","tags":["inter phase spacers","transmission or distribution","cable connector"],"url":"https://www.slideshare.net/slideshow/04inter-phase-spacers/47062091","userLogin":"HarishAgarwal3","userName":"Harish Agarwal","viewCount":1704},{"algorithmId":"3","displayTitle":"IR Drop Analysis and Its Reduction Techniques in Deep Submicron Technology","isSavedByCurrentUser":false,"pageCount":8,"score":0.4977,"slideshowId":"44375591","sourceName":"cm_text","strippedTitle":"i501045562","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/i501045562-150207004243-conversion-gate02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This paper presents a detailed conceptual analysis of IR Drop effect in deep submicron technologies and its reduction techniques. The IR Drop effect in power/ground network increases rapidly with technology scaling. This affects the timing of the design and hence the desired speed. It is shown that in present day designs, using well known reduction techniques such as wire sizing and decoupling capacitor insertion, may not be sufficient to limit the voltage fluctuations and hence, two more important methods such as selective glitch reduction technique and IR Drop reduction through combinational circuit partitioning are discussed and the issues related to all the techniques are revised.","tags":["switching activity","decoupling capacitance","ir drop"],"url":"https://www.slideshare.net/slideshow/i501045562/44375591","userLogin":"ijeraeditor","userName":"IJERA Editor","viewCount":3001},{"algorithmId":"3","displayTitle":"Structural and Electrical Analysis of Various MOSFET Designs","isSavedByCurrentUser":false,"pageCount":4,"score":0.4908,"slideshowId":"46972470","sourceName":"cm_text","strippedTitle":"d503051619","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/d503051619-150414043856-conversion-gate01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Invention of Transistor is the foundation of electronics industry. Metal Oxide Semiconductor Field Effect Transistor (MOSFET) has been the key to the development of nano electronics technology. This paper offers a brief review of some of the most popular MOSFET structure designs. The scaling down of planar bulk MOSFET proposed by the Moore’s Law has been saturated due to short channel effects and DIBL. Due to this alternative approaches has been considered to overcome the problems at lower node technology. SOI and FinFET technologies are promising candidates in this area.","tags":["soi.","pd mosfet","finfet"],"url":"https://www.slideshare.net/slideshow/d503051619/46972470","userLogin":"ijeraeditor","userName":"IJERA Editor","viewCount":335},{"algorithmId":"3","displayTitle":"18EC655_Module-1.pptx","isSavedByCurrentUser":false,"pageCount":55,"score":0.489,"slideshowId":"259061709","sourceName":"cm_text","strippedTitle":"18ec655module1pptx","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/18ec655module-1-230708131457-5c822193-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document discusses basic concepts in VLSI design including:\n- The history and progression of integrated circuit generations from SSI to VLSI to ULSI. \n- The basic operation and types (enhancement vs depletion, NMOS vs PMOS) of MOS transistors. \n- Fabrication processes for CMOS, including masks, diffusion, deposition of oxide and polysilicon layers.\n- Threshold voltage and factors that determine it such as oxide thickness and charges at interfaces.","tags":[],"url":"https://www.slideshare.net/JazzSameer/18ec655module1pptx","userLogin":"JazzSameer","userName":"JazzSameer","viewCount":21},{"algorithmId":"3","displayTitle":"Pvc cmos finale ","isSavedByCurrentUser":false,"pageCount":78,"score":0.4883,"slideshowId":"89609039","sourceName":"cm_text","strippedTitle":"pvc-cmos-finale","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/pvccmosfinale190415paklahfinale-180305040729-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document provides an introduction and background on Complementary Metal Oxide Semiconductor (CMOS) technology. It discusses key components of a CMOS circuit including NMOS, PMOS, photolithography, etching, chemical mechanical planarization, shallow trench isolation, contacts, vias, and interlayer dielectrics. The objectives of the project are to identify defects in a defective CMOS sample using electrical testing, scanning laser optical microscopy, passive voltage contrast under SEM, and focused ion beam with EDX to determine the root cause of the defect.","tags":[],"url":"https://www.slideshare.net/RoslinaShariff/pvc-cmos-finale","userLogin":"RoslinaShariff","userName":"Roslina Shariff","viewCount":993},{"algorithmId":"3","displayTitle":"Nanometer layout handbook at high speed design","isSavedByCurrentUser":false,"pageCount":39,"score":0.4875,"slideshowId":"76971943","sourceName":"cm_text","strippedTitle":"nanometer-layout-handbook-at-high-speed-design","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/nanometerlayouthandbookathighspeeddesign-170615123243-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"I made this contents for whom is about to layout own's IC design. I think it would be helpful to consider layouts about high speed Rx / Tx.\r\nSpecially it was aimed giga hertz bandwidth I/O with its ESD protection (I am still working on that items to rearrange with my knowledge to my experiences)\r\nI showed up all references and all images (except originals) are belong to own's copy rights.","tags":["high speed cmos ic layout","matching","offset"],"url":"https://www.slideshare.net/slideshow/nanometer-layout-handbook-at-high-speed-design/76971943","userLogin":"MinhoPark25","userName":"Minho Park","viewCount":2092},{"algorithmId":"3","displayTitle":"Extended Abstract - Adam Moreau","isSavedByCurrentUser":false,"pageCount":7,"score":0.4874,"slideshowId":"64819483","sourceName":"cm_text","strippedTitle":"extended-abstract-adam-moreau","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/df5d5815-28f5-4228-bc14-42825c98e02f-160809025337-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document summarizes research into designing a piezoelectric-actuated mirror mount with a servo bandwidth greater than 380kHz. Four different mount designs were tested: a cylindrical control mount and three designs intended to dampen mechanical resonances - a solid center of mass design, a design with a 0.125\" tungsten-carbide core, and a design with a 0.25\" tungsten-carbide core. Testing showed the thickness of the adhesive layer and mount material most affected resonance frequency. The optimal design was found to be a 0.25\" tungsten-carbide filled brass mount, achieving a 392kHz servo bandwidth.","tags":[],"url":"https://www.slideshare.net/slideshow/extended-abstract-adam-moreau/64819483","userLogin":"AdamMoreau","userName":"Adam Moreau","viewCount":131},{"algorithmId":"3","displayTitle":"PIM: Components, Materials, Handling \u0026 Testing","isSavedByCurrentUser":false,"pageCount":4,"score":0.4863,"slideshowId":"37688587","sourceName":"cm_text","strippedTitle":"wirelesspages0414","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/wirelesspages0414-140805115723-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This issue of AWT Global's Wireless Pages describes How to measure PIM, treating low PIM components and offers information about low PIM materials.","tags":["pim","rf","skin effect"],"url":"https://www.slideshare.net/slideshow/wirelesspages0414/37688587","userLogin":"AWT-Global","userName":"AWT Global","viewCount":328},{"algorithmId":"3","displayTitle":"Nc342352340","isSavedByCurrentUser":false,"pageCount":6,"score":0.4846,"slideshowId":"26092580","sourceName":"cm_text","strippedTitle":"nc342352340","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/nc342352340-130911055219-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document summarizes research on scaling limits of CMOS devices and proposed structures to overcome these limits. It first discusses how quantum mechanical effects and short channel effects become problematic as devices are scaled down, limiting further scaling. It then reviews various structures proposed by other researchers, including fully depleted SOI MOSFETs with strained silicon channels, dual material gates, and gate-all-around structures. Finally, it proposes a new structure combining these elements: a fully depleted SOI gate-all-around MOSFET using a strained silicon channel and dual material gate to address scaling challenges while improving performance.","tags":[],"url":"https://www.slideshare.net/slideshow/nc342352340/26092580","userLogin":"ijeraeditor","userName":"IJERA Editor","viewCount":280},{"algorithmId":"3","displayTitle":"IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...","isSavedByCurrentUser":false,"pageCount":8,"score":0.4833,"slideshowId":"14129205","sourceName":"cm_text","strippedTitle":"b02041118","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/b02041118-120831080415-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document summarizes a research paper that proposes low-leakage 1-bit full adder cell designs for reducing power consumption in nanometer technologies. It introduces two modified full adder circuit designs (Design1 and Design2) that apply transistor resizing and power gating techniques. Simulation results show that the proposed designs reduce standby leakage power and active power compared to a conventional 28-transistor CMOS full adder. Design1 sizes transistors with a 3.17x PMOS-to-NMOS ratio while Design2 uses a 1.5x ratio. Both aim to minimize area and leakage through optimized transistor widths and lengths.","tags":[],"url":"https://www.slideshare.net/slideshow/b02041118/14129205","userLogin":"ijerd_editor","userName":"IJERD Editor","viewCount":737},{"algorithmId":"3","displayTitle":"ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY","isSavedByCurrentUser":false,"pageCount":11,"score":0.4824,"slideshowId":"86508427","sourceName":"cm_text","strippedTitle":"ultra-high-speed-factorial-design-in-subnanometer-technology","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/csit3229-180122091617-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This work proposes a high speed and low power factorial design in 22nm technology and also it counts the effect of sub nano-meter constraints on this circuit. A comparative study for this\r\ndesign has been done for 90nm, 45nm and 22nm technology. The rise in circuit complexity and speed is accompanied by the scaling of MOSFET’s. The transistor saturation current Idsat is an important parameter because the transistor current determines the time needed to charge and discharge the capacitive loads on chip, and thus impacts the product speed more than any other transistor parameter. The efficient implementation of a factorial number is carried out by using\r\na decremented and multipliers which has been lucidly discussed in this paper. Normally in a factorial module a number is calculated as the iterative multiplication of the given number to\r\nthe decremented value of the given number. A Parallel adder based decremented has been proposed for calculating the factorial of any number that also includes 0 and 1. The\r\nperformances are calculated by using the existing 90-nm CMOS technology and scaling down the existing technology to 45-nm and 22-nm. \r\n","tags":["mosfet scaling","decremented","multiplier"],"url":"https://www.slideshare.net/slideshow/ultra-high-speed-factorial-design-in-subnanometer-technology/86508427","userLogin":"cscpconf","userName":"cscpconf","viewCount":84}],"moreFromUser":[],"featured":null,"latest":[]},"slideDimensions":{"height":842,"width":595},"sectionSummaries":[{"summaryList":"[\n {\n \"slides\": [\n 1\n ],\n \"topic\": \"Challenges in Analog Layout at 90nm\",\n \"cover\": \"Discusses Shallow Trench Isolation (STI) and Well Proximity Effect (WPE) challenges in analog layouts.\"\n },\n {\n \"slides\": [\n 2\n ],\n \"topic\": \"Shallow Trench Isolation (STI)\",\n \"cover\": \"Explains the STI technique, its importance, introduction of stress in MOS transistors, and methods to mitigate it.\"\n },\n {\n \"slides\": [\n 3\n ],\n \"topic\": \"Well Proximity Effect (WPE)\",\n \"cover\": \"Describes WPE, its effect on MOS threshold voltage variation, and layout considerations to mitigate this issue.\"\n },\n {\n \"slides\": [\n 4\n ],\n \"topic\": \"Importance of RF Layout at 90nm\",\n \"cover\": \"Emphasizes the significance of layout quality at high frequencies regarding parasitics and circuit performance.\"\n },\n {\n \"slides\": [\n 5\n ],\n \"topic\": \"Device Parasitics in RF Layout\",\n \"cover\": \"Discusses MOS parasitics, optimization techniques for gate resistance, and improving substrate resistance.\"\n }\n]"}],"topReadSlides":[],"user":{"id":"15900460","isFollowing":false,"login":"AdrianOShaughnessy","name":"AdrianOShaughnessy","occupation":null,"organization":null,"photo":"https://public.slidesharecdn.com/v2/images/profile-picture.png","photoExists":false,"shortName":"AdrianOShaughnessy"},"views":972},"_nextI18Next":{"initialI18nStore":{"en":{"common":{"ad":{"fallbackText":"Ad for Scribd subscription","label":"Ad","close":"Close Ad","dismiss_in":"Dismiss in","ad_info_title":"Why are you seeing this?","ad_info_description":"We use ads to keep content free and accessible for everyone. You can remove them by \u003c2\u003esigning up\u003c/2\u003e for a SlideShare subscription."},"error":{"favorite_add":"We couldn't add SlideShare to favorites","favorite_remove":"We couldn't remove SlideShare from favorites","follow":"There was a problem following this user","unfollow":"There was a problem un-following this user","save":"Unable to save this item at this time.","remove_save":"We couldn't remove from your saved items"},"header":{"hamburger_menu_aria_label":"Open navigation menu","logo":{"alt":"SlideShare a Scribd company logo","title":"Return to the homepage"},"home":"Home","explore":"Explore","search":{"placeholder":"Search","aria_label":"Search SlideShare","button":"Submit Search","suggestion_1":"business model canvas example","suggestion_2":"social media strategy outline","suggestion_3":"marketing principles diagram","suggestion_4":"ai in healthcare presentation","suggestion_5":"writing skills checklist","suggestion_6":"21st century literature talk","tooltip":"For better results, use descriptive keywords to find the most relevant presentations. \u003cb\u003eExample:\u003c/b\u003e\u003cem\u003e Instead of 'Artificial Intelligence', try 'AI in healthcare trends'\u003c/em\u003e."},"upload":"Upload","login":"Login","signup":{"label":"Download free for 30 days","title":"Signup now for a SlideShare account"},"user":{"aria_label":"User Settings","clipboards":"My Clipboards","uploads":"My Uploads","analytics":"Analytics","settings":"Account Settings","support":"Support","logout":"Logout"}},"footer":{"link":{"about":"About","support":"Support","terms":"Terms","privacy":"Privacy","copyright":"Copyright","cookie":"Cookie Preferences","privacyRights":"Do not sell or share my personal information","everand":"Everand"},"language_selector":{"aria_label":"Change Language","hidden_text":"Current Language"},"copyright":"SlideShare from Scribd","social":{"rss":{"aria_label":"Slideshare on RSS Feed","title":"RSS"},"twitter":{"aria_label":"Slideshare on Twitter","title":"Twitter"}}},"app_notification_banner":{"notification_text":"We've updated our privacy policy.","notification_link_text_desktop":"Click here to review the details.","notification_link_text_mobile":"Tap here to review the details."},"privacy_policy_explicit_opt_in_modal":{"modal_title":"We've updated our privacy policy.","modal_content_paragraph_one":"We’ve updated our privacy policy so that we are compliant with changing global privacy regulations and to provide you with insight into the limited ways in which we use your data.","modal_content_paragraph_two":"You can read the details below. By accepting, you agree to the updated privacy policy.","modal_content_paragraph_three":"Thank you!","modal_action_assertive":"Accept and continue","modal_action":"View updated privacy policy","modal_action_error":"We've encountered a problem, please try again."},"actions":{"addToSaved":"Save for later","addToSavedA11y":"Save {{title}} for later","all_saved":"All Saved","back":"Back","close":"Close","cancel":"Cancel","save":"Save","save_slide":"Save slide","saved":"Saved","submit":"Submit","next":"Next","previous":"Previous","removeSaved":"Remove from saved","removeSavedA11y":"Remove {{title}} from saved","scrollLeft":"Scroll Left","scrollRight":"Scroll Right","save_to_new_list_success":"Saved to "},"filter":{"apply":"Apply"},"form":{"required":"Required"},"slideshow_card":{"slideshow_type":{"document":"Document","infographic":"Infographic","presentation":"Presentation","documents":"Documents","infographics":"Infographics","presentations":"Presentations","downloads":"Downloads","likes":"Likes"},"slideshow_type_unit":{"presentation":"Slide","document":"Page","infographic":"Page"},"pages_count_one":"{{count}} page","pages_count_other":"{{count}} pages","slides_count_one":"{{count}} slide","slides_count_other":"{{count}} slides","screen_reader_text":"{{title}} by {{author}}","screen_reader_text_extended":"{{title}} by {{author}}, has {{slideCount}} slides with {{viewCount}} views.","view_one":"view","view_other":"views"},"image_alt":{"studying":"Abstract image of a woman sitting on books and studying on a laptop"},"items":{"count_one":"{{count}} item","count_other":"{{count}} items"},"time":{"second_one":"{{count}} second","second_other":"{{count}} seconds"},"timeSince":{"minutes_ago":"{{count}} min. ago","hours_ago_one":"{{count}} hour ago","hours_ago_other":"{{count}} hours ago","days_ago_one":"{{count}} day ago","days_ago_other":"{{count}} days ago","months_ago_one":"{{count}} month ago","months_ago_other":"{{count}} months ago","years_ago_one":"{{count}} year ago","years_ago_other":"{{count}} years ago"},"see_more":"See more","see_less":"See Less","yes":"Yes","no":"No","signup":"Sign Up","next_label":"Next","previous_label":"Previous"},"player":{"actions":{"all_saved":"All Saved","clip":"Clip Slide","copy_text":"Copy text","copy_text_success":"Text copied to clipboard","copy_text_error":"Failed to copy the text. Please try again!","download_presentation":"Download presentation","download_slide":"Download slide","download_document":"Download document","download_page":"Download page","download_now":"Download now","download":"Download","favorite":"Favorite","remove_favorite":"Remove favorite","remove_like":"Remove like","like":"Like","follow":"Follow","unfollow":"Unfollow","more_options":"More options","share":"Share","saved":"Saved","remove_from_list":"Remove from list {{listname}}","removed_from_list":"Saved item has been removed from the List","removed_from_list_error":"Could not remove the saved item from list","save_error":"Unable to save this item at this time.","save_to_new_list":"New list","save_to_list":"Save to list {{listname}}","scroll_view":"Scroll view","scroll_view_description":"Vertically scroll through all slides","slideshow_view":"Slideshow view","slideshow_view_description":"View one slide at a time","no_saved_lists":"You don't have any lists created yet."},"ads":{"label":"Ad","skip":"Skip to next slide","skip_countdown":"You can skip to the next slide in","continue_in":"Continue in","change_volume":"Change Volume","download_read_ad_free":"Download to read ad-free","scroll":"Scroll to read more"},"ad_block_interstitial":{"title_1":"Keep SlideShare free —","title_2":"please disable your ad blocker.","button_label":"Show me how","message":"Hate ads? Join Scribd to browse SlideShare and Scribd ad-free.","cta":"Try Scribd for free"},"author":{"by":"by","follow":"Follow","following":"Following","keynoteAuthor":"Keynote Author"},"download":{"success":"Your SlideShare is downloading."},"editors_notes":"Editor's Notes","end_of_reading":{"loading":"Loading in","title":"Check these out next","next_slideshares":"Next SlideShares","upcoming_slideshare":"Upcoming SlideShare"},"first_clip":{"title":"You just clipped your first slide!","description":"Clipping is a handy way to collect important slides you want to go back to later. Now customize the name of a clipboard to store your clips."},"metadata":{"at":"at","aiTag":{"descriptionLabel":"AI-enhanced description","descriptionTooltipTitle":"AI-Enhanced Description","descriptionTooltip":"Leveraging AI technology, we've optimized the description for improved clarity.","titleLabel":"AI-enhanced title","titleTooltipTitle":"AI-Enhanced Title","titleTooltip":"Leveraging AI technology, we've optimized the title for improved clarity.","titleAndDescriptionLabel":"AI-enhanced title and description","titleAndDescriptionTooltipTitle":"AI-Enhanced Title and Description","titleAndDescriptionTooltip":"Leveraging AI technology, we've optimized the title and description for improved clarity."},"download":{"bottomSheetTitle":"Download format","label_short":"Download","label":"Download now","description":"Download to read offline","download_count":"Downloaded {{count}} times"},"download_as":"Download as {{formatTypes}}","readMore":"Read more","readLess":"Read less","more":"more","category":{"label":"Category","description":"View the featured presentations, documents and infographics in the"},"dateFormat":"MMM. D, YYYY","most_read":"Most read","pages":"Pages","page":"Page"},"navigation":{"next_slide":"Next Slide","previous_slide":"Previous Slide","slide_count_of_total_count":"\u003cspan data-cy='current-slide-number' class='current-slide-number j-current-slide'\u003e{{slideCount}}\u003c/span\u003e of \u003cspan class='total-slides j-total-slides'\u003e{{totalCount}}\u003c/span\u003e","view_fullscreen":"View Fullscreen","zoom_in":"Zoom In","zoom_out":"Zoom Out"},"new_clipboard_modal":{"title":"Create a clipboard","name":{"label":"Name","placeholder":"Best of Slides","error":"Name cannot be blank"},"description":{"label":"Description","placeholder":"Add a brief description so other know what your clipboard is about."},"visibility":{"label":"Visibility","description":"Others can see my Clipboard"}},"sections":{"ai_popover":"Leveraging AI, we’ve generated a content overview for enhanced navigation.","ai_label":"Contents are AI-generated","thumbnails":"Thumbnails","contents":"Contents"},"select_clipboard_modal":{"title":"Select a clipboard","message":"Looks like you've already clipped this slide","button":"Create a clipboard"},"share":"Share SlideShare","rec":{"related":"Related slideshows","recommended_for_you":"Recommended for you","descTooltipTitle":"About the slideshow","recommended":{"title":"Recommended","shortTitle":"Recommended"},"relatedContent":{"title":"More Related Content","shortTitle":"More Related Content"},"featured":{"title":"Featured","shortTitle":"Featured"},"forYou":{"title":"Slideshows for you","shortTitle":"Slideshows for you"},"alsoLiked":{"title":"Viewers also liked","shortTitle":"Viewers also liked"},"latest":{"title":"Recently uploaded","shortTitle":"Recently uploaded"},"moreFromUser":{"title":"More from {{name}}","shortTitle":"More from uploader"},"similarTo":{"title":"Similar to {{title}}","shortTitle":"Similar to"},"whatsHot":{"title":"What's hot","shortTitle":"What's hot"}},"scribd":{"books":{"label":"Ebook","title":"Related Books"},"audioBooks":{"label":"Audiobook","title":"Related Audiobooks"},"error":{"title":"Oops! Something went wrong.","description":"We're sorry, but we can't find any related content at this time.","button":"Try again"},"freeWithTrial":"Free with a 30 day trial from {{brand}}","viewAll":"View All"},"error":{"clipboard":"We couldn't create your clipboard","save":"We couldn't save this item","unsave":"We couldn't remove from your saved items","blocked":"This user has blocked you","private_content":"Private content!","privacy_explanation":"This content has been marked as private by the uploader.","enter_password":"Enter password","file_protected":"This file is password protected.","password_incorrect":"The password is incorrect.","private_presentation":"Private Presentation","private_content_message":"This uploaded file has been marked private by the author. Sorry!","removed_content_title":"Uploaded Content Removed","removed_content_message":"The uploaded content has been removed and is no longer available.","redirect_message":"Redirecting to the homepage in","something_went_wrong_title":"Sorry! The page could not be loaded.","something_went_wrong_message":"This is probably a temporary error. Just refresh the page and retry. If the problem continues, please check back in 5-10 minutes.","not_found_title":"Sorry! We could not find what you were looking for.","not_found_message":"Don't worry, we will help you get to the right place. Are you looking for:"},"save_to_new_list_modal":{"title":"Add to a new list","list_name_input_label":"What would you like to name this list?","list_privacy_label":"Make list private","cancel_button_label":"Cancel","save_list_button_label":"Save","success":"Saved to ","error":"We couldn't save this item to {{listname}}"},"confirm_remove_save_modal":{"title":"This saved item is also in a list.","description":"Removing from saved will also delete the item from your lists","cancel_button_label":"Cancel","delete_button_label":"Delete"},"seo_metadata_test":{"description_suffix":"Download as a PDF or view online for free"}},"metadata":{"gallery_view":"Gallery","gallery_view_label":"Gallery View","like_one":"like","like_other":"likes","likeWithCount_one":"{{count}} like","likeWithCount_other":"{{count}} likes","view_one":"view","view_other":"views","saved_one":"saved","saved_other":"saved","from_embeds":"From embeds","number_of_embeds":"Number of embeds","on_slideshare":"On Slideshare","total_views":"Total views","split_char":",","decimal_point":".","show_more":"Show More","likes":{"empty_message":"Be the first to like this","loading_message":"Loading..."},"slide_one":"slide","slide_other":"slides","slide_count_of_total":"of","study_guide":{"cta":"Convert to study guide","beta_label":"BETA","description":"Transform any presentation into a summarized study guide, highlighting the most important points and key insights.","button_cta":"Convert","label":"Study guide","modal_title":"Coming soon!","modal_description":"We're working on bringing automatic study guide generation to presentations. Help us shape this feature by sharing your feedback.","modal_question":"How often would you convert presentations into study guides if this feature was available?","modal_complete":"We appreciate you taking the time to share your thoughts. Your input will help us build a feature that better meets your needs. Stay tuned as we work to bring this to life!","modal_options":{"option_1":"Frequently (4+ times per month)","option_2":"Occasionally (1-3 times per month)","option_3":"Rarely (few times per year)","option_4":"Not interested"}},"study_materials":{"cta":"Convert to study materials","label":"Convert","description":"Transform any presentation into ready-made study material—select from outputs like summaries, definitions, and practice questions.","modal_description":"We're working on introducing automatic content conversion to help transform presentations into customized study materials. Help us shape this feature by sharing your feedback.","modal_question":"What output would be most helpful for your conversion use case?","modal_options":{"option_1":"Summaries of main concepts","option_2":"Key definitions or terms","option_3":"Real-world examples","option_4":"Practice questions or exercises"}}},"report":{"more":"More options","share":"Share","report":"Report","edit":"Edit","viewAnalytics":"View Analytics","flag_as_inappropriate":"Report as inappropriate","error":"There was an error while reporting this slideshow. Please try again.","flag":"Flag","copyright_complaint":"Copyright Complaint","select_a_reason":"Select a reason","select_your_reason":"Select your reason for reporting this presentation as inappropriate.","none":"None","porn":"Pornographic","defamatory":"Defamatory","ultraviolence":"Extremely Violent or Promotes Terrorism","hate_speech":"Hate Speech","offensive":"Offensive Language or Threatening","spam":"Spam or Scam","form":{"title":"Report content","description":"If you are the copyright owner of this document and want to report it, please visit the \u003cCustomLink href='example.com'\u003ecopyright infringement notice page\u003c/CustomLink\u003e to submit a report.","error_category":"Select a category to submit your report","error_subtype":"Select a sub-category to submit your report","default_subtype":"Select an option...","category":{"illegal":{"label":"Illegal","description":"Content that breaks the law or promotes illegal activities.","subtypes":{"child_exploitation":"Minor Exploitation","child_sexual_abuse_material":"Child Sexual Abuse","dangerous_products_or_services":"Dangerous Products/Services","non_violent_crime":"Non-Violent Offenses","sex_related_crime":"Sexual Offenses","violent_crime":"Violent Offenses","terrorism":"Terrorism","general":"Other"}},"explicit":{"label":"Explicit","description":"Content that is graphic, offensive, or disturbing.","subtypes":{"sexually_explicit_content":"Sexually Explicit","shocking_content":"Shocking or Disturbing","general":"Other"}},"dangerous_and_deragatory":{"label":"Dangerous \u0026 Derogatory","description":"Content that encourages harmful, threatening, or hateful behavior.","subtypes":{"menacing":"Threatening Behavior","incitement":"Inciting Violence or Hatred","self_harm":"Self-harm","general":"Other"}},"deceptive_and_fraudulent":{"label":"Deceptive \u0026 Fraudulent","description":"Content that intentionally misleads, deceives, or engages in fraudulent activities.","subtypes":{"academic_integrity":"Academic Dishonesty","misinformation":"Spreading False Information","general":"Other"}},"guideline_ignoring":{"label":"Intellectual Property","description":"Content that uses someone else's protected work without permission.","subtypes":{"ip_infringement_copyright":"IP infringement (copyright)","ip_infringement_non_copyright":"IP infringement (non-copyright)","general":"Other"}},"privacy":{"label":"Privacy","description":"Content that misuses personal information.","subtypes":{"privacy_general":"General Privacy","privacy_child":"Child Privacy"}},"spam":{"label":"Spam","description":"Unsolicited and repetitive content that disrupts your experience."},"low_quality":{"label":"Low Quality","description":"Content that fails to meet minimum standards for clarity, relevance, or completeness."}}}},"share_modal":{"close":"Close","embed_title":"Embed","embed_size":"Size (px)","link":"Link","start":"Start on","title":"Share this SlideShare","share_facebook":"Share on Facebook","share_linkedin":"Share on Linkedin","share_twitter":"Tweet on Twitter"},"next_slideshow":{}}},"initialLocale":"en","ns":["common","player","metadata","report","share_modal","next_slideshow"],"userConfig":null},"_sentryTraceData":"2f9d1cc64c934e899efd777a9c4d26c8-56c164970fd11e67-1","_sentryBaggage":"sentry-environment=production,sentry-public_key=7e7cafa2920448ed81ac67de08abbeed,sentry-trace_id=2f9d1cc64c934e899efd777a9c4d26c8,sentry-sample_rate=1,sentry-transaction=%2F%5Busername%5D%2F%5Btitle%5D,sentry-sampled=true"},"__N_SSP":true},"page":"/[username]/[title]","query":{"username":"AdrianOShaughnessy","title":"nmi-presentation-sept-2007"},"buildId":"b030862495cc07c0e7871314a377e645db7d1165","assetPrefix":"https://public.slidesharecdn.com","runtimeConfig":{"assetPrefix":"https://public.slidesharecdn.com"},"isFallback":false,"isExperimentalCompile":false,"gssp":true,"locale":"en","locales":["en","de","es","pt","fr"],"defaultLocale":"en","domainLocales":[{"domain":"www.slideshare.net","defaultLocale":"en"},{"domain":"de.slideshare.net","defaultLocale":"de"},{"domain":"es.slideshare.net","defaultLocale":"es"},{"domain":"pt.slideshare.net","defaultLocale":"pt"},{"domain":"fr.slideshare.net","defaultLocale":"fr"}],"scriptLoader":[]}</script></body></html>

Pages: 1 2 3 4 5 6 7 8 9 10