CINXE.COM
Powerplanning | PPT
<!DOCTYPE html><html lang="en"><script id="gtm"> performance.mark('gtm.start'); (function (w, d, s, l, i) { w[l] = w[l] || []; w[l].push({ 'gtm.start': new Date().getTime(), event: 'gtm.js' }); var f = d.getElementsByTagName(s)[0], j = d.createElement(s), dl = l != 'dataLayer' ? '&l=' + l : ''; j.async = true; j.src = 'https://www.googletagmanager.com/gtm.js?id=' + i + dl; j.onload = function () { performance.mark('gtm.end'); performance.measure('gtm', 'gtm.start', 'gtm.end'); }; f.parentNode.insertBefore(j, f); })(window, document, 'script', 'dataLayer', 'GTM-M36RG8PT'); </script><head><meta charSet="utf-8"/><meta name="viewport" content="width=device-width"/><link rel="shortcut icon" href="https://public.slidesharecdn.com/_next/static/media/favicon.7bc3d920.ico"/><script> window.eventsToSend = window.eventsToSend || [] window.eventsToSend.push({ name: "page_rendering", timestamp: new Date().toISOString(), value: JSON.stringify({ time: performance?.now() }) }) </script><script type="speculationrules">{"prerender":[{"where":{"and":[{"href_matches":"/slideshow/*"}]},"eagerness":"moderate"}]}</script><title>Powerplanning | PPT</title><meta name="robots" content="index, follow"/><meta name="title" content="Powerplanning"/><meta name="description" content="Powerplanning - Download as a PDF or view online for free"/><link rel="canonical" href="https://www.slideshare.net/slideshow/powerplanning/16883536"/><link rel="alternate" hrefLang="en" href="https://www.slideshare.net/slideshow/powerplanning/16883536"/><link rel="alternate" hrefLang="x-default" href="https://www.slideshare.net/slideshow/powerplanning/16883536"/><link rel="preconnect" href="https://a.pub.network/" crossorigin="anonymous"/><link rel="preconnect" href="https://b.pub.network/" crossorigin="anonymous"/><link rel="preconnect" href="https://c.pub.network/" crossorigin="anonymous"/><link rel="preconnect" href="https://d.pub.network/" crossorigin="anonymous"/><link rel="preconnect" href="https://c.amazon-adsystem.com" crossorigin="anonymous"/><link rel="preconnect" href="https://s.amazon-adsystem.com" crossorigin="anonymous"/><link rel="preconnect" href="https://secure.quantserve.com/" crossorigin="anonymous"/><link rel="preconnect" href="https://rules.quantcount.com/" crossorigin="anonymous"/><link rel="preconnect" href="https://pixel.quantserve.com/" crossorigin="anonymous"/><link rel="preconnect" href="https://btloader.com/" crossorigin="anonymous"/><link rel="preconnect" href="https://api.btloader.com/" crossorigin="anonymous"/><link rel="preconnect" href="https://confiant-integrations.global.ssl.fastly.net" crossorigin="anonymous"/><link rel="preload" href="https://a.pub.network/slideshare-net/pubfig.min.js" as="script"/><script> window.freestarReactCompontentLoaded = true; // Prevents Freestar from appending the script a second time; typo is theirs window.freestar = window.freestar || { queue: [] }; window.freestar.config = freestar.config || {}; window.freestar.config.disabledProducts = { googleInterstitial: false, }; window.eventsToSend = window.eventsToSend || []; window.freestar.queue.push(function () { window.eventsToSend.push({ name: "freestar_queue_start", timestamp: new Date().toISOString() }) }); </script><meta name="twitter:site" content="@SlideShare"/><meta name="twitter:card" content="player"/><meta name="twitter:title" content="Powerplanning"/><meta name="twitter:description" content="Powerplanning - Download as a PDF or view online for free"/><meta name="twitter:image" content="https://cdn.slidesharecdn.com/ss_thumbnails/powerplanning-130302112400-phpapp01-thumbnail.jpg?width=640&height=640&fit=bounds"/><meta name="twitter:image:alt" content="Powerplanning"/><meta name="twitter:player" content="https://www.slideshare.net/slideshow/embed_code/key/eyK2LCRtTenTkx"/><meta name="twitter:player:width" content="597"/><meta name="twitter:player:height" content="486"/><meta name="twitter:app:name:googleplay" content="SlideShare Android"/><meta name="twitter:app:id:googleplay" content="net.slideshare.mobile"/><meta name="twitter:app:name:iphone" content="SlideShare iOS"/><meta name="twitter:app:id:iphone" content="917418728"/><meta name="twitter:app:url:iphone" content="slideshare-app://ss/165952101"/><meta name="twitter:app:name:ipad" content="SlideShare iOS"/><meta name="twitter:app:id:ipad" content="917418728"/><meta name="twitter:app:url:ipad" content="slideshare-app://ss/165952101"/><meta property="og:site_name" content="SlideShare"/><meta property="og:type" content="website"/><meta property="og:url" content="https://www.slideshare.net/slideshow/powerplanning/16883536"/><meta property="og:title" content="Powerplanning"/><meta property="og:description" content="Powerplanning - Download as a PDF or view online for free"/><meta property="og:image" content="https://cdn.slidesharecdn.com/ss_thumbnails/powerplanning-130302112400-phpapp01-thumbnail.jpg?width=640&height=640&fit=bounds"/><meta property="og:image:alt" content="Powerplanning"/><meta property="og:image:type" content="image/webp"/><meta property="og:image:width" content="640"/><meta property="og:image:height" content="360"/><script type="application/ld+json">{"@context":"http://schema.org","@type":"CreativeWork","name":"Powerplanning","description":"https://www.udemy.com/vlsi-academy\r\nUsually, while drawing any circuit on paper, we have only one 'vdd' at the top and one 'vss' at the bottom. But on a chip, it becomes necessary to have a grid structure of power, with more than one 'vdd' and 'vss'. The concept of power grid structure would be uploaded soon. It is actually the scaling trend that drives chip designers for power grid structure.\r\n","url":"https://www.slideshare.net/slideshow/powerplanning/16883536","datePublished":"2013-03-02 11:24:00 UTC","publisher":{"@type":"Organization","name":"Slideshare","url":"https://www.slideshare.net/"},"encodingFormat":"application/pdf","fileFormat":"pdf","inLanguage":"en","mainEntityOfPage":{"@type":"WebPage","@id":"https://www.slideshare.net/slideshow/powerplanning/16883536"}}</script><script type="application/ld+json">{"@context":"https://schema.org/","@type":"BreadcrumbList","itemListElement":[{"@type":"ListItem","position":1,"name":"Home","item":"https://www.slideshare.net"},{"@type":"ListItem","position":2,"name":"Education","item":"https://www.slideshare.net/category/education"},{"@type":"ListItem","position":3,"name":"Powerplanning","item":"https://www.slideshare.net/slideshow/powerplanning/16883536"}]}</script><script> window.freestar?.queue.push(function() { googletag.pubads().setTargeting('test', []); }); </script><meta name="next-head-count" content="56"/><link rel="preload" href="https://public.slidesharecdn.com/_next/static/media/b6a6f0b43d027304-s.p.woff2" as="font" type="font/woff2" crossorigin="anonymous" data-next-font="size-adjust"/><link rel="preload" href="https://public.slidesharecdn.com/_next/static/media/9cf9c6e84ed13b5e-s.p.woff2" as="font" type="font/woff2" crossorigin="anonymous" data-next-font="size-adjust"/><noscript data-n-css=""></noscript><link rel="preload" href="https://cmp.osano.com/AzZdHGSGtpxCq1Cpt/079b27eb-bb3f-48dd-9bd9-3feb8aec3c38/osano.js" as="script"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/chunks/webpack-67055304329fa938.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/chunks/framework-bd6a9caeb00dbf2a.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/chunks/main-8d3871035d267ecc.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/chunks/pages/_app-fe4b7e9e674d6e61.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/chunks/5121-c12bfd4bed7c2139.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/chunks/6534-44b1f6b92564c66b.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/chunks/1674-c4fa4f8080779ca8.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/chunks/pages/slideshow/%5Btitle%5D/%5Bid%5D-de3433ac709cfa5d.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/b030862495cc07c0e7871314a377e645db7d1165/_buildManifest.js"/><link rel="preload" as="script" href="https://public.slidesharecdn.com/_next/static/b030862495cc07c0e7871314a377e645db7d1165/_ssgManifest.js"/><style>:root{--black:#000;--white:#fff;--alice-blue-100:#f5fcff;--alice-blue-200:#f2faff;--alice-blue-300:#f2f9fc;--alice-blue-600:#ddf1f9;--celadon-blue:#027eb0;--celadon-blue-dark:#026c97;--midnight-green-dark:#002e3c;--light-gray:#e9edf8;--pale-gray:#fdfdfd;--snow-gray:#f3f6fd;--snow-gray-100:#f9faff;--blue-gray-100:#f5f6fa;--blue-gray-200:#e3e6f0;--blue-gray-300:#d0d6e6;--blue-gray-400:#b4bbd1;--blue-gray-500:#989fbc;--blue-gray-575:#6d7594;--blue-gray-600:#636c8b;--blue-gray-700:#424766;--blue-gray-800:#212240;--blue-gray-900:#0e0f25;--blue-300:#005e93;--blue-200:#0076b9;--blue-100:#e7f5fc;--gray-200:#c0c4cc;--gray-500:#303133;--tangerine-100:#fbedd8;--color-info:#3aa7ea;--color-error:#bf3131;--color-success:#337c41;--color-warning:#cd5308;--color-error-red-100:#f2dede;--celadon-blue-rgb:2,126,176;--blue-gray-600-rgb:99,108,139;--color-twitter:#1da1f2;--color-twitter-hover:#1a91da;--color-facebook:#3b5998;--color-facebook-hover:#3c5586;--color-linkedin:#337ab1;--color-linkedin-hover:#2e6e9f;--color-scribd:#1e7b85;--color-scribd-hover:#1d7680;--color-sms:#3b3835;--color-email:#bbc4c8;color-scheme:only light;--header-height:64px;--toolbar-height:54px;--progress-bar-height:8px;--pushdown-height:90px;--adhesion-height:0px;--key-moments-size:140px;--sections-size:185px;--sidebar-size:404px;--metadata-toolbar-height:100px;--metadata-toolbar-offset:0px;--metadata-toolbar-shadow-opacity:0;--actions-menu-height:74px;--max-content-width:1688px;--border-radius:8px;--sticky-index:8;--header-index:11;--dropdown-index:99;--freestar-index:999;--progress-bar-index:9999;--popup-index:9999;--toast-index:99999;--pointly-index:2;--slide-actions-index:3;--font-weight-light:300;--font-weight-regular:400;--font-weight-medium:600;--font-weight-bold:700;--font-weight-black:900;--font-size-large:16px;--font-size-medium:14px;--font-size-small:12px;--font-size-xsmall:10px;--line-height-relax:1.5;--line-height-compact:1.15;--line-height-tight:1;--ease:cubic-bezier(0.2,0,0,1)}@media screen and (max-width:1249px){:root{--sidebar-size:364px}}@media screen and (max-width:1024px){:root{--header-height:75px}}@media screen and (max-width:767px){:root{--pushdown-height:50px}}@media only screen and (min-width:929px){:root{--actions-menu-height:0}}*,:after,:before{box-sizing:border-box}*{margin:0}:focus-visible{outline:2px solid rgba(2,126,176,.7);outline-offset:2px}body,html{min-height:100%}html{scroll-behavior:smooth;scrollbar-gutter:stable}body{background-color:var(--white);font-family:var(--font-family);font-size:var(--font-size-medium);line-height:var(--line-height-relax);overflow:clip scroll;text-rendering:optimizeLegibility;-webkit-text-size-adjust:100%;-webkit-font-smoothing:antialiased;-moz-osx-font-smoothing:grayscale}canvas,img,picture,video{display:block;max-width:100%}button,input,select,textarea{font-family:var(--font-family)}h1,h2,h3,h4,h5,h6,p{overflow-wrap:break-word}#__next,#root{isolation:isolate;min-height:100%;height:-moz-max-content;height:max-content}::-moz-selection{color:var(--blue-gray-900);background-color:var(--blue-gray-200)}::selection{color:var(--blue-gray-900);background-color:var(--blue-gray-200)}body:has(dialog[open]){overflow:clip}body:has(dialog.scrollable[open]){overflow:auto}header:has(+aside.visible){box-shadow:none}header:has(+aside:not(.visible)){box-shadow:0 1px 0 0 var(--blue-gray-200)}.content-wrapper{width:100%;max-width:var(--max-content-width);margin-inline:auto}.clamp-lines{-webkit-box-orient:vertical;-webkit-line-clamp:2;line-clamp:2;display:-webkit-box}.clamp-lines,.ellipsis{text-overflow:ellipsis;overflow:hidden}.ellipsis{white-space:nowrap}.seo-only,.sr-only{position:absolute!important;width:1px;height:1px;border:0;clip:rect(1px,1px,1px,1px);clip-path:inset(50%);padding:0;margin:-1px;overflow:hidden;white-space:nowrap}.no-scroll,body[class^=no-scroll-for-]{overflow:hidden;touch-action:none}.hide-scrollbar{-ms-overflow-style:none;scrollbar-width:none}.hide-scrollbar::-webkit-scrollbar{display:none}.unstyled-button{background:none;border:none;padding:0}body:has(#slideshare_pushdown-pushdown-cls iframe){--pushdown-height:90px}body:has(.fs-pushdown-sticky:empty){--pushdown-height:0px}@media only screen and (max-width:928px){#fs-sticky-footer{bottom:var(--actions-menu-height)}}@media only screen and (min-width:1051px){body:has(#slideshare_pushdown-pushdown-cls.fs-pushdown-sticky){--metadata-toolbar-offset:0px!important}}.metadata-toolbar{top:var(--metadata-toolbar-offset)}body:has(#fs-sticky-footer iframe) #fixed-download-container{bottom:var(--adhesion-height,0)}body:not(:has(#fs-sticky-footer iframe)) #fs-sticky-footer{min-height:0!important}dialog[open]{display:grid;grid-template-rows:max-content;opacity:1}dialog[open]::backdrop{opacity:.6}dialog{max-width:100dvw;max-height:100dvh;box-shadow:0 0 0 1px rgba(9,30,66,.08),0 2px 1px rgba(9,30,66,.08),0 0 20px -6px rgba(9,30,66,.3);border:0;padding:0;margin:auto;overflow:clip}dialog,dialog::backdrop{opacity:0;transition:opacity .3s ease-out,transform .3s ease-out,translate .3s ease-out,display allow-discrete .3s,overlay allow-discrete .3s}dialog::backdrop{background-color:#000}@starting-style{dialog[open],dialog[open]::backdrop{opacity:0}}.popover.open,[popover]:popover-open{opacity:1;scale:1}[popover]:popover-open::backdrop{opacity:0}.popover.floating-ui{display:none;z-index:var(--popup-index)}.popover.floating-ui.open{display:block;opacity:1}.popover,[popover]{position:absolute;inset:auto;color:var(--blue-gray-800);background-color:canvas;border:0;border-radius:4px;box-shadow:0 1px 5px rgba(0,0,0,.05),0 4px 12px rgba(0,0,0,.2);overscroll-behavior:contain;overflow:clip auto;padding:0;margin-block:1ch;scale:.96}.popover,[popover],[popover]::backdrop{transition:opacity .3s,scale .3s,display allow-discrete .2s,overlay allow-discrete .2s;opacity:0}@starting-style{.popover.open,[popover]:popover-open,[popover]:popover-open::backdrop{opacity:0}.popover.open,[popover]:popover-open{scale:.96}}.popover [data-popover-list],[popover] [data-popover-list]{display:flex;flex-direction:column;list-style:none;padding-inline:0;padding-block:8px}.popover [data-popover-item],[popover] [data-popover-item]{width:100%;display:flex;align-items:center;gap:8px;padding-block:12px;padding-inline:16px;color:inherit;text-decoration:none;cursor:pointer}.popover [data-popover-item]:hover,[popover] [data-popover-item]:hover{background-color:var(--blue-gray-100)}.popover [role=separator],[popover] [role=separator]{margin-block:8px;margin-inline:16px}@supports (anchor-name:--foo-bar){[popover]{position-try-order:most-height;position-visibility:anchors-visible}[popover][data-popover-position=bottom]{position-area:bottom;position-try:flip-block;transform-origin:top}[popover][data-popover-position=bottom-start]{position-area:bottom span-right;position-try:flip-block,flip-inline;transform-origin:top left}[popover][data-popover-position=bottom-end]{position-area:bottom span-left;position-try:flip-block,flip-inline;transform-origin:top right}[popover][data-popover-position=top]{position-area:top;position-try:flip-block}[popover][data-popover-position=top-start]{position-area:top span-right;position-try:flip-block,flip-inline;transform-origin:bottom left}[popover][data-popover-position=top-end]{position-area:top span-left;position-try:flip-block,flip-inline;transform-origin:bottom right}[popover][data-popover-position=left]{position-area:left;position-try:flip-inline;transform-origin:right}[popover][data-popover-position=left-start]{position-area:left span-bottom;position-try:flip-block,flip-inline;transform-origin:top right}[popover][data-popover-position=left-end]{position-area:left span-top;position-try:flip-block,flip-inline;transform-origin:bottom right}[popover][data-popover-position=right]{position-area:right;position-try:flip-inline;transform-origin:left}[popover][data-popover-position=right-start]{position-area:right span-bottom;position-try:flip-block,flip-inline;transform-origin:top left}[popover][data-popover-position=right-end]{position-area:right span-top;position-try:flip-block,flip-inline;transform-origin:bottom left}}#fs-sticky-footer,.orp-player-wrapper{z-index:var(--freestar-index)!important}.fs-sticky-parent{position:static!important}.fs-sticky-wrapper{padding-right:0!important;display:block!important}#slideshare_pushdown-pushdown-cls.fs-pushdown-sticky{position:static!important}body.no-scroll-mobile #slideshare_pushdown-pushdown-cls{height:auto}body.gallery-view #slideshare_pushdown-pushdown-cls{display:none}#pushdown-ad-large{display:grid;justify-content:center;align-items:center}#above-recs-desktop-ad-sm,#above-recs-mobile,#below-reader-ad,#between-recs-ad-1,#between-recs-ad-2{height:280px}@media screen and (min-width:929px){#below-reader-ad,#between-recs-ad-1,#between-recs-ad-2{height:250px}#above-recs-desktop-ad-sm,#above-recs-desktop-ad-sm-2{aspect-ratio:336/280;height:280px;margin-bottom:32px}#above-recs-desktop-ad-sm_container{justify-content:left!important}}@media only screen and (min-width:1051px){body{--padding-x-offset:clamp(1rem,-1.6rem + 8vw,8rem);--margin-x-offset:0px;--end:calc(var(--padding-x-offset) + var(--sidebar-size) + var(--margin-x-offset))}#fs-sticky-footer:has(#slideshare_adhesion){left:0;width:calc(100% - var(--end))}#fs-sticky-footer:has(#slideshare_adhesion2){width:var(--end);left:unset;right:0}}@media only screen and (min-width:1689px){body{--margin-x-offset:calc(calc(100% - var(--max-content-width)) / 2)}}div:has(>#between-recs-ad-1),div:has(>#between-recs-ad-2),div:has(>#interstitial-ad-1),div:has(>[id^=slideshare_docs_incontent]){display:grid;justify-content:center}div:has(>#interstitial-ad-1.video-interstitial-ad){display:block}@media screen and (max-width:928px){div:has(>#above-recs-mobile),div:has(>#below-reader-ad){display:grid;justify-content:center}}#fs-sticky-footer.hidden,.orp-player-wrapper.hidden{display:none!important}@media only screen and (max-width:928px){#fs-sticky-footer.hidden-on-mobile,.orp-player-wrapper.hidden-on-mobile{display:none!important}}div[id^=FreeStarVideoAdContainer_]{width:100%}#freestar-video-child:not(:empty){margin-bottom:24px}div[id^=FreeStarVideoAdContainer_FloatingOnly]{width:auto;aspect-ratio:auto}:root{--shadow-color:rgba(122,61,143,.15);--osano-dialog-shadow:drop-shadow(var(--shadow-color) 0.5px 1px 1px) drop-shadow(var(--shadow-color) 1px 2px 2px) drop-shadow(var(--shadow-color) 2px 4px 4px) drop-shadow(var(--shadow-color) 4px 8px 8px) drop-shadow(var(--shadow-color) 8px 16px 16px)}.osano-cm-dialog{background-color:#212240;color:var(--white)}.osano-cm-dialog__close{color:inherit;stroke:var(--white)}.osano-cm-dialog--eu{display:grid;grid-template-columns:1fr 200px;grid-column-gap:48px;-moz-column-gap:48px;column-gap:48px;max-height:95dvh;font-family:Source Sans Pro,sans-serif;color:var(--blue-gray-600,#636c8b);background-color:var(--white,#fff);border-top-left-radius:var(--border-radius,8px);border-top-right-radius:var(--border-radius,8px);filter:var(--osano-dialog-shadow);padding-block-start:32px;padding-block-end:20px;padding-inline:5%;.osano-cm-dialog__content{max-height:calc(95dvh - 52px)}.osano-cm-dialog__close{display:none}.osano-cm-dialog__title{font-size:24px;font-weight:700;color:var(--blue-gray-900,#0e0f25);margin-block-end:16px}.osano-cm-content__usage-list{font-size:16px}.osano-cm-usage-list__list{margin-block-start:8px;margin-block-end:16px;margin-inline-start:8px;.osano-cm-list__list-item{font-size:16px}}.osano-cm-link,.osano-cm-message{font-size:16px}.osano-cm-message{display:flex}.osano-cm-drawer-links,.osano-cm-link{display:inline}.osano-cm-link{color:var(--celadon-blue,#027eb0);font-weight:600;text-decoration:none;&:hover{color:var(--celadon-blue-dark,#026c97)}&:after{content:"|";padding-inline:12px}&:last-child:after{content:""}}.osano-cm-dialog__list{line-height:normal;margin-block-start:24px;.osano-cm-list__list-item{display:inline-flex;align-items:center;&:after{content:"|";padding-inline:16px;color:var(--blue-gray-200,#e3e6f0);font-size:28px}&:last-child:after{content:""}}}.osano-cm-toggle__switch{display:none}.osano-cm-toggle__input{width:16px;height:16px;margin:unset;overflow:unset;accent-color:var(--blue-gray-900,#0e0f25);position:static;opacity:1}.osano-cm-toggle__label.osano-cm-label{color:var(--blue-gray-600,#636c8b);font-size:18px;font-weight:600;margin-left:8px;margin-inline-end:0}.osano-cm-dialog__buttons{min-width:unset;align-self:flex-end;display:grid;grid-row-gap:8px;row-gap:8px;justify-content:stretch;align-items:center;margin:unset;.osano-cm-buttons__button{height:-moz-max-content;height:max-content;min-height:40px;font-size:16px;font-weight:600;margin:unset}.osano-cm-accept-all{order:-1}.osano-cm-accept-all,.osano-cm-manage{background-color:var(--celadon-blue,#027eb0)}.osano-cm-deny,.osano-cm-denyAll,.osano-cm-save{background-color:transparent;color:var(--celadon-blue,#027eb0);border:1px solid var(--celadon-blue,#027eb0)}}}.osano-cm-dialog--eu.osano-cm-dialog--br{.osano-cm-dialog__title{font-size:26px;font-weight:600}.osano-cm-dialog__buttons{row-gap:12px}}.osano-cm-window:has(>.osano-cm-dialog--br-overlay):before{content:"";position:fixed;inset:0;background-color:rgba(0,0,0,.6);z-index:-1}@media screen and (max-width:768px){.osano-cm-dialog--eu{-moz-column-gap:24px;column-gap:24px;grid-template-columns:1fr;padding-block-end:32px;padding-inline:16px;.osano-cm-dialog__buttons{display:flex;flex-wrap:nowrap;align-items:center;justify-content:flex-start;gap:16px;.osano-cm-buttons__button{width:-moz-max-content;width:max-content}}}.osano-cm-dialog--eu.osano-cm-dialog--br{.osano-cm-link,.osano-cm-message{font-size:16px;width:auto}.osano-cm-dialog__buttons{margin-block-start:24px;row-gap:16px}}}@media screen and (max-width:520px){.osano-cm-dialog--eu{font-size:14px;padding-block-start:16px;padding-block-end:16px;.osano-cm-dialog__title{font-size:20px;margin-block-end:8px}.osano-cm-link,.osano-cm-message{font-size:inherit}.osano-cm-usage-list__list{font-size:12px;.osano-cm-list__list-item{font-size:inherit}}.osano-cm-toggle__label.osano-cm-label{font-size:15px}.osano-cm-dialog__list{width:100%;display:flex;flex-direction:column;margin-block-start:8px;.osano-cm-list__list-item{display:grid;border-bottom:1px solid var(--blue-gray-200,#e3e6f0);padding-block:4px;&:last-child{border-bottom:unset}}.osano-cm-list__list-item:after{content:unset}.osano-cm-list-item__toggle{align-self:baseline;justify-content:space-between;.osano-cm-toggle__label{order:-1;margin:unset}}}.osano-cm-dialog__buttons{display:grid;grid-template-columns:1fr 1fr;grid-column-gap:16px;-moz-column-gap:16px;column-gap:16px;grid-row-gap:12px;row-gap:12px;margin-block-start:0;.osano-cm-buttons__button{min-width:-moz-max-content;min-width:max-content;width:unset;justify-self:stretch}.osano-cm-accept-all{grid-column:1/span 2}}}.osano-cm-dialog--eu.osano-cm-dialog--br{padding-block-start:32px;padding-block-end:32px;.osano-cm-dialog__title{font-size:26px;margin-block-end:16px}.osano-cm-link,.osano-cm-message{font-size:16px;width:auto}.osano-cm-dialog__buttons{margin-block-start:24px;row-gap:16px}}}@media screen and (min-width:929px){.osano-cm-widget{display:none}.osano-cm-content__message{margin-bottom:8px;padding-bottom:0;width:90%}.osano-cm-message{font-size:12px}.osano-cm-drawer-links{margin:0}.osano-cm-link{color:var(--celadon-blue);font-size:12px}.osano-cm-list__list-item{font-size:12px}.osano-cm-dialog__buttons{display:flex;align-items:center;gap:6px}.osano-cm-button{height:44px;font-size:14px;background-color:var(--celadon-blue);border-color:var(--celadon-blue);color:var(--white);padding:10px;margin:3px 0}.osano-cm-denyAll{background-color:var(--blue-gray-800);border-color:var(--white);color:var(--white)}}.osano-cm-widget{display:none}.osano-cm-content__message{margin-bottom:8px;padding-bottom:0;width:90%}.osano-cm-message{font-size:12px}.osano-cm-drawer-links{margin:0}.osano-cm-link{color:var(--celadon-blue);font-size:12px}.osano-cm-list__list-item{font-size:12px}.osano-cm-dialog__buttons{display:flex;align-items:center;gap:6px}.osano-cm-button{height:44px;font-size:14px;background-color:var(--celadon-blue);border-color:var(--celadon-blue);color:var(--white);padding:10px;margin:3px 0}.osano-cm-denyAll{background-color:var(--blue-gray-800);border-color:var(--white);color:var(--white)}@font-face{font-family:__Source_Sans_3_11ceb6;font-style:normal;font-weight:200 900;font-display:swap;src:url(https://public.slidesharecdn.com/_next/static/media/88da59c891d37117-s.woff2) format("woff2");unicode-range:u+0460-052f,u+1c80-1c8a,u+20b4,u+2de0-2dff,u+a640-a69f,u+fe2e-fe2f}@font-face{font-family:__Source_Sans_3_11ceb6;font-style:normal;font-weight:200 900;font-display:swap;src:url(https://public.slidesharecdn.com/_next/static/media/1e377d93cbdd6b44-s.woff2) format("woff2");unicode-range:u+0301,u+0400-045f,u+0490-0491,u+04b0-04b1,u+2116}@font-face{font-family:__Source_Sans_3_11ceb6;font-style:normal;font-weight:200 900;font-display:swap;src:url(https://public.slidesharecdn.com/_next/static/media/36d711d427a06e51-s.woff2) format("woff2");unicode-range:u+1f??}@font-face{font-family:__Source_Sans_3_11ceb6;font-style:normal;font-weight:200 900;font-display:swap;src:url(https://public.slidesharecdn.com/_next/static/media/f1f7cdf240180e28-s.woff2) format("woff2");unicode-range:u+0370-0377,u+037a-037f,u+0384-038a,u+038c,u+038e-03a1,u+03a3-03ff}@font-face{font-family:__Source_Sans_3_11ceb6;font-style:normal;font-weight:200 900;font-display:swap;src:url(https://public.slidesharecdn.com/_next/static/media/55fbdf033607a0e1-s.woff2) format("woff2");unicode-range:u+0102-0103,u+0110-0111,u+0128-0129,u+0168-0169,u+01a0-01a1,u+01af-01b0,u+0300-0301,u+0303-0304,u+0308-0309,u+0323,u+0329,u+1ea0-1ef9,u+20ab}@font-face{font-family:__Source_Sans_3_11ceb6;font-style:normal;font-weight:200 900;font-display:swap;src:url(https://public.slidesharecdn.com/_next/static/media/b6a6f0b43d027304-s.p.woff2) format("woff2");unicode-range:u+0100-02ba,u+02bd-02c5,u+02c7-02cc,u+02ce-02d7,u+02dd-02ff,u+0304,u+0308,u+0329,u+1d00-1dbf,u+1e00-1e9f,u+1ef2-1eff,u+2020,u+20a0-20ab,u+20ad-20c0,u+2113,u+2c60-2c7f,u+a720-a7ff}@font-face{font-family:__Source_Sans_3_11ceb6;font-style:normal;font-weight:200 900;font-display:swap;src:url(https://public.slidesharecdn.com/_next/static/media/9cf9c6e84ed13b5e-s.p.woff2) format("woff2");unicode-range:u+00??,u+0131,u+0152-0153,u+02bb-02bc,u+02c6,u+02da,u+02dc,u+0304,u+0308,u+0329,u+2000-206f,u+20ac,u+2122,u+2191,u+2193,u+2212,u+2215,u+feff,u+fffd}@font-face{font-family:__Source_Sans_3_Fallback_11ceb6;src:local("Arial");ascent-override:109.21%;descent-override:42.66%;line-gap-override:0.00%;size-adjust:93.76%}.__className_11ceb6{font-family:__Source_Sans_3_11ceb6,__Source_Sans_3_Fallback_11ceb6,Inter,-apple-system,BlinkMacSystemFont,Segoe UI,Roboto,Oxygen,Ubuntu,Cantarell,Fira Sans,Droid Sans,Helvetica Neue,sans-serif;font-style:normal}.__variable_11ceb6{--font-family:"__Source_Sans_3_11ceb6","__Source_Sans_3_Fallback_11ceb6",Inter,-apple-system,BlinkMacSystemFont,Segoe UI,Roboto,Oxygen,Ubuntu,Cantarell,Fira Sans,Droid Sans,Helvetica Neue,sans-serif}.PageProgressBar_root__1y_Q3{position:fixed;top:0;left:0;width:100%;z-index:var(--progress-bar-index);pointer-events:none}.PageProgressBar_bar__Kyoem{width:100%;height:3px;background-color:var(--celadon-blue);transform:scaleX(0);transform-origin:left;transition:transform .4s cubic-bezier(.4,0,.2,1),opacity .5s ease;opacity:1}.PageProgressBar_complete__KhJy3{opacity:0}.ErrorShell_root__zYUH8{min-height:100vh;display:flex;flex-direction:column}.Header_root__8A86O{--fluid-16-24:clamp(1rem,0.7373rem + 1.3136vi,1.5rem);position:relative;height:var(--header-height);display:grid;grid-template-columns:auto 1fr;align-items:center;grid-gap:24px;gap:24px;background-color:var(--white);font-family:inherit;padding-inline:var(--fluid-16-24)}.Header_root__8A86O.Header_sticky__NGNE7{position:sticky;top:0;transition:box-shadow .3s ease-in-out;z-index:var(--header-index)}.Header_root__8A86O button{font-size:14px;white-space:nowrap}.Header_wrapper___zBfP{display:flex;align-items:center;flex-shrink:0;gap:12px}@media screen and (max-width:1320px){.Header_root__8A86O.Header_biggerSearch__Ce0Hq{grid-template-columns:auto 1fr auto}}@media screen and (min-width:768px){.Header_root__8A86O{grid-template-columns:repeat(3,minmax(min-content,1fr))}}.Hamburger_root__tHlgC{display:grid;place-content:center;background:transparent;border:0;border-radius:2px;color:var(--blue-gray-600);padding:0;margin:0;cursor:pointer}@media screen and (min-width:768px){.Hamburger_root__tHlgC{display:none}}.SidebarFallback_loggedOutRoot__oKMfe{display:grid;grid-template-rows:170px 1fr}.SidebarFallback_loggedOutContainer__Tpr_u{display:flex;flex-direction:column;padding:16px}.SidebarFallback_loggedInRoot__r1CEd{display:grid;grid-template-rows:64px 1fr}.SidebarFallback_loggedInContainer__dw_ML{display:flex;flex-direction:column;gap:16px;padding:16px}.SidebarFallback_loggedInLinks__C2NaL{display:grid;grid-gap:24px;gap:24px}.SidebarFallback_loggedInUser__b8i41{display:flex;align-items:center;gap:8px;margin-block-start:12px;margin-block-end:auto}.Skeleton_root__U4QqL{--skeleton-bg:rgba(0,0,0,.1);--shimmer-bg:linear-gradient(90deg,transparent,rgba(0,0,0,.04),transparent);position:relative;display:block;height:1.2rem;flex-shrink:0;background:var(--skeleton-bg);overflow:hidden}.Skeleton_root__U4QqL:after{content:"";position:absolute;inset:0;translate:-100% 0;background:var(--shimmer-bg);animation:Skeleton_shimmer__hGst9 2s ease-in-out .5s infinite}.Skeleton_circle___fxTG{aspect-ratio:1/1;border-radius:100vmax;height:unset}.Skeleton_rectangle__UY3OD{border-radius:0}.Skeleton_rounded__BLBq2{border-radius:4px}.Skeleton_text___JusF{border-radius:4px;font-size:1rem;scale:1 .6}.Skeleton_text___JusF:before{content:"\00a0"}.Skeleton_list__xNehW{display:flex;flex-direction:column;gap:6px;padding-inline:8px;padding-block:10px}.Skeleton_copy__qgw2R{display:flex;flex-direction:column;padding-block:12px;padding-inline:16px}.Skeleton_copy__qgw2R .skeleton:first-child{margin-block-end:8px}@keyframes Skeleton_shimmer__hGst9{0%{translate:-100% 0}50%{translate:100% 0}to{translate:100% 0}}.Icon_root__AjZyv{--size:24px;position:relative;display:flex;align-items:center;justify-content:center}.Icon_icon__4zzsG{flex-shrink:0;display:inline-block;width:var(--size);height:var(--size);-webkit-mask-position:center;mask-position:center;-webkit-mask-repeat:no-repeat;mask-repeat:no-repeat;-webkit-mask-size:cover;mask-size:cover;vertical-align:middle}.Drawer_root__ob4ZM[open]{translate:0 0}.Drawer_root__ob4ZM{position:fixed;inset:0;border-radius:0;translate:var(--slide-from)}@starting-style{.Drawer_root__ob4ZM[open]{translate:var(--slide-from)}}.Drawer_root__ob4ZM[data-placement=left]{--slide-from:-100% 0;inset-inline-end:auto;border-top-right-radius:var(--border-radius);border-bottom-right-radius:var(--border-radius)}.Drawer_root__ob4ZM[data-placement=right]{--slide-from:100% 0;inset-inline-start:unset;border-top-left-radius:var(--border-radius);border-bottom-left-radius:var(--border-radius)}.Drawer_root__ob4ZM[data-placement=bottom]{--slide-from:0 100%;inset-block-start:unset;border-top-left-radius:var(--border-radius);border-top-right-radius:var(--border-radius)}.Drawer_content__ye6ZB{display:grid;overflow:auto}.Drawer_root__ob4ZM[data-placement=left],.Drawer_root__ob4ZM[data-placement=right]{width:min(280px,80vw);height:100dvh}.Drawer_root__ob4ZM[data-placement=bottom]{width:100dvw;max-height:80dvh}.CloseButton_root__JCTRm{position:absolute;right:16px;top:16px;width:40px;height:40px;display:grid;place-content:center;color:var(--blue-gray-600);border-radius:100vmax;background-color:transparent;border:0;padding:0;margin:0;transition:background-color .2s ease-in-out;cursor:pointer}.CloseButton_root__JCTRm:hover{background-color:rgba(var(--blue-gray-600-rgb),.05)}.Logo_root__Qba3h{flex-shrink:0}.SearchForm_root__usp4s{position:relative;justify-self:center;width:min(100%,440px)}.SearchForm_root__usp4s form{display:grid}.SearchForm_root__usp4s input{height:40px;border-radius:100vmax;border:1px solid var(--blue-gray-600);margin:0;padding:0 40px 0 16px;color:var(--blue-gray-900);background-color:var(--white);width:100%}.SearchForm_root__usp4s.SearchForm_biggerSearch__syu70{width:100%}.SearchForm_root__usp4s input::-moz-placeholder{color:var(--blue-gray-600)}.SearchForm_root__usp4s input::placeholder{color:var(--blue-gray-600)}div.SearchForm_popover__K3_9y{left:anchor(left);right:anchor(right)}div.SearchForm_popoverContent__O_56c{max-width:100%}div.SearchForm_popoverContent__O_56c p{--webkit-line-clamp:unset;line-clamp:unset}.SearchForm_hidden__rZp69{display:none!important}.SearchForm_submit__U8kPR{width:32px;height:32px;position:absolute;right:14px;top:50%;display:grid;place-content:center;border:none;background:transparent;border-radius:100vmax;color:var(--blue-gray-400);padding:0;margin:0;translate:0 -50%;cursor:pointer}.SearchForm_expressivePlaceholder__6nNw5{position:absolute;top:10px;left:20px;height:20px;overflow:hidden;white-space:nowrap;pointer-events:none;max-width:100%;display:flex;padding-right:60px}.SearchForm_expressiveLabel__7_0_U{display:block;color:var(--blue-gray-575);font-size:14px;max-width:100%;overflow:hidden;text-overflow:ellipsis;white-space:nowrap}.SearchForm_expressiveLabelMobile__ZmtzP{display:none}@media screen and (max-width:928px){.SearchForm_expressiveLabelDesktop__D0GoT,.SearchForm_popover__K3_9y{display:none}.SearchForm_expressiveLabelMobile__ZmtzP{display:block}}@media screen and (max-width:768px){.SearchForm_root__usp4s{justify-self:flex-end}}.PopoverContent_root__Wu_Vr{max-width:332px;padding-block:12px;padding-inline:16px;display:flex;gap:10px;align-items:flex-start}.PopoverContent_root__Wu_Vr .PopoverContent_title__U2Bf2{display:flex;align-items:center;justify-content:space-between;font-size:1rem;margin-bottom:4px}.PopoverContent_inner__Ulol1{display:flex;flex-direction:column}.PopoverContent_root__Wu_Vr .PopoverContent_title__U2Bf2 span{line-height:18px;font-weight:600}.PopoverContent_accentBubble__E3IDn{margin-left:34px}.PopoverContent_root__Wu_Vr p{-webkit-box-orient:vertical;-webkit-line-clamp:3;display:-webkit-box;color:var(--blue-gray-600);font-size:14px;line-height:18px;line-clamp:5;overflow:hidden}.AccentBubble_root__DZ4aE{padding:4px 12px;border-radius:100px;background:var(--tangerine-100);font-size:11px;font-weight:600;color:var(--gray-500);display:flex;text-align:center;justify-content:center}.HeaderActions_root__11_ai{display:flex;align-items:center;justify-self:flex-end;gap:16px}@media screen and (max-width:768px){.HeaderActions_root__11_ai{display:none}}.Footer_footer__N3WmV{width:100%;display:flex;flex-direction:column;gap:24px;background-color:var(--midnight-green-dark);color:var(--blue-gray-400);padding:24px;margin-top:auto}.Footer_footer__N3WmV .separator{opacity:.3}.Footer_top__y0vfl{display:flex;justify-content:space-between;align-items:center;gap:24px}.Footer_links__F2xFZ{color:inherit;display:flex;align-items:center;flex-wrap:wrap;-moz-column-gap:32px;column-gap:32px;row-gap:12px;padding:0;margin:0}.Footer_cookiePreferenceButton__lDgkB{order:4;background-color:transparent;border:none;color:inherit;font-size:inherit;font-weight:800;cursor:pointer}.Footer_top__y0vfl .everand-link{order:8}.Footer_bottom__reaXc{display:flex;align-items:center;gap:24px}.Footer_copyright__dje7H{font-size:14px;line-height:24px;margin-right:auto}.Footer_icons__8EufG{display:flex;align-items:center;gap:16px}.Link_root__vn3ab{display:inline-flex;align-items:center;gap:4px;border-radius:2px;color:inherit;font-size:inherit;font-weight:inherit;text-decoration:none;-webkit-text-decoration-color:transparent;text-decoration-color:transparent;transition:color .2s ease-in-out,-webkit-text-decoration-color .2s ease-in-out .2s;transition:color .2s ease-in-out,text-decoration-color .2s ease-in-out .2s;transition:color .2s ease-in-out,text-decoration-color .2s ease-in-out .2s,-webkit-text-decoration-color .2s ease-in-out .2s}.Link_primary__Iq4CI{color:var(--celadon-blue-dark)}.Link_primary__Iq4CI:focus,.Link_primary__Iq4CI:focus-visible,.Link_primary__Iq4CI:hover{color:var(--celadon-blue)}.Link_gray__Efpxa{color:var(--blue-gray-600)}.Link_gray__Efpxa:focus,.Link_gray__Efpxa:focus-visible,.Link_gray__Efpxa:hover{color:var(--blue-gray-700)}.Link_light__mcUPh{color:var(--blue-gray-400)}.Link_light__mcUPh:focus,.Link_light__mcUPh:focus-visible,.Link_light__mcUPh:hover{color:var(--blue-gray-100)}.Link_dark__Ql4LW{color:var(--blue-gray-800)}.Link_dark__Ql4LW:focus,.Link_dark__Ql4LW:focus-visible,.Link_dark__Ql4LW:hover{color:var(--celadon-blue)}.Link_weight-regular__yPpnB{font-weight:400}.Link_weight-medium__h0ic3{font-weight:600}.Link_weight-bold__me4nt{font-weight:700}.Link_size-small__wSSrC{font-size:12px}.Link_size-medium__ZLo12{font-size:14px}.Link_size-large__W0PAv{font-size:16px}.Link_size-xLarge__Dq0j8{font-size:18px}.Link_hoverUnderline__QMNau:hover,.Link_underline__RoQbh{text-decoration:underline;-webkit-text-decoration-color:inherit;text-decoration-color:inherit}.Link_hoverUnderline__QMNau:hover{text-decoration-thickness:1.5px;text-underline-offset:2px}.LanguageSelect_trigger__XUC_9{height:40px;display:flex;align-items:center;gap:8px;color:var(--white);background-color:transparent;border:1px solid var(--white);border-radius:4px;font-weight:700;padding-inline:12px;cursor:pointer}.LanguageSelect_trigger__XUC_9 span:first-child{transform-origin:center;transition:rotate .2s}.LanguageSelect_trigger__XUC_9.LanguageSelect_flipped__OJ2FL span:first-child{rotate:z 90deg}.Separator_root__70Ime{background-color:var(--color);flex-shrink:0;justify-self:stretch;align-self:stretch}.Separator_horizontal__czVEa{height:1px}.Separator_vertical__JYCCK{width:1px}.PrivateContentMessage_root__IEx17{flex-grow:1;width:100%;height:100%;display:flex;align-items:flex-start}.PrivateContentMessage_box__YG0Bp{width:100%;max-width:960px;background-color:#f2dede;border-color:#eed3d7;border-radius:8px;color:#b94a48;font-size:14px;font-weight:400;padding:16px 24px;margin:100px auto}@media screen and (max-width:960px){.PrivateContentMessage_box__YG0Bp{margin-left:16px;margin-right:16px}}.RemovedContentMessage_root__rtN5X{flex-grow:1;width:100%;display:flex;align-items:flex-start}.RemovedContentMessage_box__pw1z3{width:100%;max-width:960px;background-color:#fcf8e3;border:1px solid #fbeed5;border-radius:4px;color:#c09853;font-size:14px;font-weight:400;text-shadow:0 1px 0 hsla(0,0%,100%,.5);padding:16px 24px;margin:100px auto}.RemovedContentMessage_title__vwHjN{color:#504c48;font-size:25px;font-weight:300;line-height:35px;margin-bottom:4px}@media screen and (max-width:960px){.RemovedContentMessage_box__pw1z3{margin-left:16px;margin-right:16px}}.ErrorDisplay_root__bY_Qo{height:100%;display:flex;flex-direction:column;align-items:center;justify-content:center;background:var(--white);font-family:-system-ui,sans-serif;color:var(--blue-gray-800)}.ErrorDisplay_smiley__o9HSN{width:129px;height:122px;margin:60px auto 30px}.ErrorDisplay_errorBox__jL_9h{max-width:800px;width:100%;height:290px;background:var(--white) url(/images/fadedlogo.jpg) no-repeat left top;background-position:14px 20px;margin:0 auto 120px}.ErrorDisplay_errorCode__AlZal{border-right:1px solid var(--blue-gray-100);color:var(--blue-gray-500);float:left;font-size:1.3em;height:290px;line-height:370px;margin:0 25px 0 0;vertical-align:bottom;text-align:center;width:200px}.ErrorDisplay_errorBox__jL_9h h1{font-weight:400;margin-bottom:0}.ErrorDisplay_errorBox__jL_9h h2{font-size:.9em;font-weight:400;margin-top:0}.ErrorDisplay_errorBox__jL_9h h3{font-size:1em;font-weight:700;margin:10px 0}.ErrorDisplay_errorBox__jL_9h ul{list-style-type:none}.ErrorDisplay_errorBox__jL_9h ul li{background:transparent url(/images/arrow.png) no-repeat left 6px;float:left;font-size:.813em;font-weight:400;list-style-type:none;margin:.313em;padding-left:20px;width:110px}.ErrorDisplay_middle__espDJ{padding-right:3.5em}.ErrorDisplay_searchSection__1J_EK{background:var(--blue-gray-100) url(/images/searchbg.jpg) repeat-x left top;border:1px solid var(--blue-gray-300);float:left;padding:10px;margin-top:20px}.ErrorDisplay_searchSection__1J_EK form{display:flex}.ErrorDisplay_searchSection__1J_EK button{background:url(/images/searchbutton.jpg) repeat-x scroll 0 0 #ffb762;border:1px solid #db9b51;color:var(--blue-gray-800);cursor:pointer;float:left;font-size:1em;margin:0 0 0 .8px;padding:9px 15px;outline:0 none;text-decoration:none;text-shadow:1px 1px 1px var(--white);vertical-align:top}.ErrorDisplay_searchSection__1J_EK button span{background:#ffb762 url(/images/magnifier.jpg) no-repeat 0 0;padding:0 8px;margin-left:5px;text-decoration:none}.ErrorDisplay_errorSearchBox__Uglib{border-color:var(--blue-gray-500) var(--blue-gray-400) var(--blue-gray-400) var(--blue-gray-500);border-width:1px;color:var(--blue-gray-600);float:left;font-size:13px;margin-left:2px;padding:9px;vertical-align:top;width:350px}@media screen and (max-width:768px){.ErrorDisplay_searchSection__1J_EK{width:calc(100% - 240px)}.ErrorDisplay_errorSearchBox__Uglib{width:calc(100% - 128px);margin:0 auto}}.ToastManager_root__mVUMQ{position:fixed;inset-block-end:0;inset-inline:0;display:grid;justify-items:flex-end;justify-content:flex-end;grid-gap:1vh;gap:1vh;padding-block-end:5vh;padding-right:1rem;pointer-events:none;z-index:var(--toast-index)}@media only screen and (max-width:928px){.ToastManager_root__mVUMQ{justify-items:center;justify-content:center;padding-right:0;top:0;padding-block-start:2vh;inset-block-end:unset}}.Toast_root__ZhPa4{min-width:360px;max-width:360px;display:grid;grid-template-columns:max-content 1fr repeat(2,max-content);grid-gap:4px;gap:4px;background-color:var(--background);border-radius:8px;color:var(--white);padding-block:28px;padding-inline:24px;pointer-events:all;opacity:1;transition:opacity .3s ease-in-out}@starting-style{.Toast_root__ZhPa4{opacity:0}}.Toast_info__g9NV8{--background:var(--midnight-green-dark)}.Toast_success__sWJ1E{--background:var(--color-success)}.Toast_error__SQpXK{--background:var(--color-error)}.Toast_warning__7GyxY{--background:var(--color-warning)}.Toast_root__ZhPa4>svg{flex-shrink:0;margin-top:2px}.Toast_content__whMkq{font-weight:400;font-size:16px;line-height:24px;word-wrap:break-word}.Toast_separator__dUZVN{width:1px;background-color:var(--blue-gray-500);opacity:.3;margin-inline:8px}.Toast_dismiss___YbdO{color:var(--blue-gray-300);cursor:pointer}.Toast_dismiss___YbdO:hover{color:var(--white)}.PortalsContainer_drawerRoot__esMLC{position:fixed;top:0;left:0}.PortalsContainer_bannerRoot__Q_sNw{position:relative}.PortalsContainer_drawerRoot__esMLC{z-index:101} /*# sourceMappingURL=f7d7028a4f5d9d61.css.map*/</style><style>.SlideshowScreen_root__k9j1_{width:100%;max-width:var(--max-content-width);position:relative;display:grid;place-content:flex-start;grid-template-columns:minmax(0,1fr) var(--sidebar-size);grid-template-rows:auto auto 1fr;background-color:var(--white);padding-block:1px;padding-inline:clamp(1rem,-1.6rem + 8vw,8rem);margin-inline:auto}.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe{grid-template-columns:var(--key-moments-size) minmax(0,1fr) var(--sidebar-size)}.SlideshowScreen_root__k9j1_.SlideshowScreen_withSections__cJqYu{grid-template-columns:var(--sections-size) minmax(0,1fr) var(--sidebar-size)}.SlideshowScreen_root__k9j1_:before{content:"";position:absolute;inset:0 0 auto;height:1px;background-color:var(--blue-gray-200);margin-inline:-50vw}.SlideshowScreen_root__k9j1_ .sidebar{border-left:1px solid var(--blue-gray-200);grid-row:1/-1;grid-column:2}.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe .sidebar{grid-column:3}.SlideshowScreen_root__k9j1_ .metadata{grid-column:1/-2;grid-row:1}.SlideshowScreen_root__k9j1_ .metadata-toolbar{grid-column:1/-2;grid-row:2}.SlideshowScreen_root__k9j1_ .player{grid-column:1}@media screen and (min-width:929px){.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe .player{grid-column:2;grid-row:3}}.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe .key-moments{grid-column:1;grid-row:3}@media screen and (min-width:929px){.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe .player{border-left:1px solid var(--blue-gray-200)}.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe .metadata{padding-inline-end:24px}}@media screen and (min-width:929px) and (max-width:1050px){.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe{grid-template-columns:var(--key-moments-size) minmax(0,1fr);padding-inline:0}.SlideshowScreen_root__k9j1_.SlideshowScreen_withSections__cJqYu{grid-template-columns:var(--sections-size) minmax(0,1fr)}.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe .metadata,.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe .metadata-toolbar{grid-column:1/-1;padding-inline:16px}}@media screen and (max-width:928px){.SlideshowScreen_root__k9j1_:before{display:none}.SlideshowScreen_root__k9j1_.SlideshowScreen_withKeyMoments__RbHLe{grid-template-columns:minmax(0,1fr)!important}.SlideshowScreen_root__k9j1_ .metadata,.SlideshowScreen_root__k9j1_ .metadata-toolbar,.SlideshowScreen_root__k9j1_ .player{grid-column:1/-1}}.PrivateContentScreen_root__qdfD9{gap:30px}.PasswordForm_root__Pnyoo,.PrivateContentScreen_root__qdfD9{min-height:calc(100svh - 2 * var(--header-height));background-color:var(--blue-gray-100);display:flex;flex-direction:column;align-items:center;justify-content:center}.PasswordForm_root__Pnyoo{gap:20px}.Form_root__NTbyO{display:flex;flex-direction:column;gap:10px}.Form_root__NTbyO button[type=submit]{align-self:flex-start}.Button_root__i1yp0{--size:44px;--foreground:var(--white);--background:var(--celadon-blue);--border:var(--celadon-blue);--fontSize:16px;--padding-x:14px;position:relative;min-width:48px;min-height:var(--size);display:flex;align-items:center;border-radius:4px;border:none;background-color:var(--background);color:var(--foreground);font-size:var(--fontSize);font-weight:var(--font-weight-medium);padding-inline:var(--padding-x);transition:color .2s ease-in-out,background-color .2s ease-in-out;cursor:pointer}.Button_root__i1yp0 span{display:flex;align-items:center;gap:4px;opacity:1;transition:opacity .2s ease-in-out}.Button_large__Yv_oe{--fontSize:18px;--size:48px;--padding-x:20px}.Button_regular__i28NX{--fontSize:18px;--size:44px}.Button_medium__H8pKi{--size:40px}.Button_small__sqsEx{--size:32px;--padding-x:12px}.Button_xsmall__jBPCG{--fontSize:14px;--size:24px;--padding-x:8px}.Button_root__i1yp0.Button_icon__1C4qi{justify-content:center;min-width:24px;padding:0}.Button_icon__1C4qi{width:var(--size);height:var(--size)}.Button_spinner__iLPXf{position:absolute;top:50%;left:50%;transform:translate(-50%,-50%);opacity:0;visibility:hidden;transition:opacity .2s ease-in-out,visibility .2s ease-in-out}.Button_loading__ZYqeE .Button_spinner__iLPXf{opacity:1;visibility:visible;transition-delay:0s}.Button_loading__ZYqeE span{opacity:0}.Button_fullWidth__dRbM6{width:100%;justify-content:center}.Button_fullWidth__dRbM6 span{justify-content:center}.Button_disabled__M5jJf{opacity:.8;pointer-events:none;-webkit-user-select:none;-moz-user-select:none;user-select:none}.Button_primary__K25Gq.Button_contained__gyjai{--foreground:var(--white);--background:var(--celadon-blue);--border:var(--celadon-blue)}.Button_primary__K25Gq.Button_contained__gyjai:active,.Button_primary__K25Gq.Button_contained__gyjai:hover{--background:var(--celadon-blue-dark);--border:var(--celadon-blue-dark)}.Button_primary__K25Gq.Button_outlined__oZHqK{border:1px solid var(--border);--foreground:var(--celadon-blue-dark);--background:transparent;--border:var(--celadon-blue-dark)}.Button_primary__K25Gq.Button_outlined__oZHqK:hover{--foreground:var(--blue-gray-800);--background:rgba(var(--celadon-blue-rgb),0.05);--border:var(--blue-gray-800)}.Button_primary__K25Gq.Button_outlined__oZHqK:active{--foreground:var(--celadon-blue-dark);--background:rgba(var(--celadon-blue-rgb),0.1);--border:var(--celadon-blue)}.Button_primary__K25Gq.Button_text__ZT_3O{--foreground:var(--celadon-blue-dark);--background:transparent;--border:transparent}.Button_primary__K25Gq.Button_text__ZT_3O:hover{--foreground:var(--celadon-blue-dark);--background:rgba(var(--celadon-blue-rgb),0.05);--border:transparent}.Button_primary__K25Gq.Button_text__ZT_3O:active{--foreground:var(--celadon-blue);--background:rgba(var(--celadon-blue-rgb),0.1);--border:transparent}.Button_secondary__hHiHI.Button_contained__gyjai{--foreground:var(--white);--background:var(--blue-gray-600);--border:var(--blue-gray-600)}.Button_secondary__hHiHI.Button_contained__gyjai:hover{--background:var(--blue-gray-700);--border:var(--blue-gray-700)}.Button_secondary__hHiHI.Button_contained__gyjai:active{--background:var(--blue-gray-500);--border:var(--blue-gray-500)}.Button_secondary__hHiHI.Button_outlined__oZHqK{border:1px solid var(--border);--foreground:var(--blue-gray-600);--background:transparent;--border:var(--blue-gray-600)}.Button_secondary__hHiHI.Button_outlined__oZHqK:hover{--foreground:var(--blue-gray-700);--background:rgba(var(--blue-gray-600-rgb),0.05);--border:var(--blue-gray-700)}.Button_secondary__hHiHI.Button_outlined__oZHqK:active{--foreground:var(--blue-gray-600);--background:rgba(var(--blue-gray-600-rgb),0.1);--border:var(--blue-gray-500)}.Button_secondary__hHiHI.Button_regular__i28NX{border:1px solid var(--border);--foreground:var(--blue-gray-700);--background:var(--white);--border:var(--blue-gray-200)}.Button_secondary__hHiHI.Button_regular__i28NX:hover{--background:var(--blue-gray-100)}.Button_secondary__hHiHI.Button_text__ZT_3O{--foreground:var(--blue-gray-600);--background:transparent;--border:transparent}.Button_secondary__hHiHI.Button_text__ZT_3O:hover{--foreground:var(--blue-gray-700);--background:rgba(var(--blue-gray-600-rgb),0.05);--border:transparent}.Button_secondary__hHiHI.Button_text__ZT_3O:active{--foreground:var(--blue-gray-600);--background:rgba(var(--blue-gray-600-rgb),0.1);--border:transparent}.Button_tertiary__ae6C5{--foreground:var(--blue-gray-700);--background:var(--white);border:1px solid var(--blue-gray-200)}.Button_tertiary__ae6C5:hover{--background:var(--blue-gray-100)}.Button_tertiary__ae6C5.Button_active__YNf7E,.Button_tertiary__ae6C5:active{--background:var(--blue-gray-200)}.Dropdown_container__NBOZ_{display:flex;flex-direction:column;gap:8px;font-family:var(--font-family);width:100%;max-width:280px}.Dropdown_select__8pQOA{border:1px solid var(--blue-gray-500);border-radius:4px;font-size:16px;font-weight:400;color:var(--blue-gray-900);margin:0;padding:8px 20px 8px 8px;background:transparent}.Dropdown_select__8pQOA:hover{border:1px solid var(--blue-gray-600);background:transparent}.Dropdown_select__8pQOA:focus{outline:none;border:1px solid var(--celadon-blue)}.Dropdown_footerText__NChWz{color:var(--blue-gray-600);font-size:11px;font-weight:400}.Dropdown_error__QI4FY{border:1px solid var(--color-error)}.Label_label__KH246{font-weight:600;font-size:14px;font-family:var(--font-family);color:var(--blue-gray-600);margin:0;-webkit-user-select:none;-moz-user-select:none;user-select:none}.Label_error__jqh1I{color:var(--color-error)}.RequiredNotice_required___q_RJ{display:flex;align-items:center;gap:8px;color:var(--blue-gray-600);font-size:11px;font-weight:400}.RequiredNotice_error__eBy3b{color:var(--color-error)}.Input_container__QSkRF{display:flex;flex-direction:column;gap:8px;font-family:var(--font-family);width:100%;max-width:280px}.Input_input__Q0qP2{padding:8px;border:1px solid var(--blue-gray-500);border-radius:4px;font-size:16px;font-weight:400;color:var(--blue-gray-900);margin:0;height:46px}.Input_input__Q0qP2:hover{border:1px solid var(--blue-gray-600)}.Input_input__Q0qP2:focus{outline:none;border:1px solid var(--celadon-blue)}.Input_error__l9OaT{border:1px solid var(--color-error)}.Input_characterLimit__4NkYE{color:var(--blue-gray-600);font-size:11px;font-weight:400}.Input_characterLimitError__ZByP3{color:var(--color-error)}.Input_footer__55AdV{display:flex;justify-content:space-between}.Input_footerAlignRight__DG8UZ{justify-content:flex-end}.Text_root__is73U{display:inline-block;padding:0;margin:0}.Text_primary__rZf7F{color:var(--blue-gray-900)}.Text_secondary__EPWj0{color:var(--blue-gray-600)}.Text_success__Mcw5I{color:var(--color-success)}.Text_error__pdEO6{color:var(--color-error)}.Text_warning__xCiZk{color:var(--color-warning)}.Text_white__Sb1IU{color:#fff}.Text_rowTitle__U1gB1{font-size:28px;line-height:35px}.Text_xlarge__X3NtK{font-size:20px;line-height:26px}.Text_large__c_Tar{font-size:18px;line-height:26px}.Text_medium__rk8Tn{font-size:16px;line-height:22px}.Text_small__S0zKm{font-size:14px;line-height:18px}.Text_xsmall__vYp9p{font-size:12px;line-height:15px}.Text_xxsmall__kOJdm{font-size:11px;line-height:14px}.Text_disabled___vAZA{color:var(--blue-gray-500)}.Text_italic__ZZFbJ{font-style:italic}.Text_weight-light__Rh8tc{font-weight:300}.Text_weight-regular__gWC16{font-weight:400}.Text_weight-medium__oIdYz{font-weight:500}.Text_weight-strong__yEO2S{font-weight:600}.Text_weight-bold__xnsIS{font-weight:700}.Text_ellipsis__QNjvO{max-width:100%;overflow:hidden;text-overflow:ellipsis;white-space:nowrap}.Text_lineClamp__SO_Pl{overflow:hidden;text-overflow:ellipsis;display:-webkit-box;-webkit-box-orient:vertical}.TextArea_container__oc_q4{display:flex;flex-direction:column;gap:8px;font-family:var(--font-family);width:100%;max-width:280px}.TextArea_textarea__VyrNQ{padding:8px;border:1px solid var(--blue-gray-500);border-radius:4px;font-size:16px;font-weight:400;color:var(--blue-gray-900);font-family:var(--font-family);min-height:150px;margin:0}.TextArea_textarea__VyrNQ:hover{border:1px solid var(--blue-gray-600)}.TextArea_textarea__VyrNQ:focus{outline:none;border:1px solid var(--celadon-blue);background:transparent}.TextArea_error__o_XpL{border:1px solid var(--color-error)}.TextArea_characterLimit__hm9El{color:var(--blue-gray-600);font-size:11px;font-weight:400}.TextArea_characterLimitError__UbPM9{color:var(--color-error)}.TextArea_footer__EG4wA{display:flex;justify-content:space-between}.TextArea_footerAlignRight__PmO7Z{justify-content:flex-end}.TagInput_container__4lPGD{display:flex;flex-direction:column;gap:8px;font-family:var(--font-family);width:100%;max-width:280px}.TagInput_input__lsGqa{border:none;flex:1 1;min-width:100px;height:30px}.TagInput_tag__9BE6f{border-radius:20px;padding:4px 8px;border:1px solid var(--blue-gray-300);display:flex;gap:8px;font-size:14px;color:var(--blue-gray-600);font-weight:600;align-items:center}.TagInput_closeTag__oeDgn{border:none;background-color:transparent;padding:0;color:var(--blue-gray-500);font-size:10px;margin:0}.TagInput_closeTag__oeDgn:hover{opacity:.75;cursor:pointer}.TagInput_inputContainer__dl2dW{box-sizing:border-box;padding:8px;border:1px solid var(--blue-gray-500);border-radius:4px;font-size:16px;font-weight:400;color:var(--blue-gray-900);width:100%;display:flex;gap:8px}.TagInput_inputContainer__dl2dW:hover{border:1px solid var(--blue-gray-600)}.TagInput_input__lsGqa:focus{outline:none;border-radius:4px;border:1px solid var(--celadon-blue)}.TagInput_error__INAMJ{border:1px solid var(--color-error)}.TagInput_errorText__Dq2QW{display:flex;align-items:center;gap:8px;color:var(--color-error);font-size:11px;font-weight:400}.TagInput_autocompleteContainer__RgOvw{position:relative}.TagInput_autocomplete__nGD9D{background-color:var(--white);display:flex;flex-direction:column;width:100%;position:absolute;left:0;right:0;top:-8px;box-shadow:0 .5px 5px rgba(0,0,0,.039),0 3.75px 11px rgba(0,0,0,.19);border-radius:4px;overflow-y:scroll;z-index:3}.TagInput_suggestion__k4kfh{padding:8px;color:var(--blue-gray-600);font-weight:600;text-decoration:underline;text-underline-offset:1.5px}.TagInput_suggestion__k4kfh:hover{cursor:pointer;color:var(--blue-gray-600)}.TagInput_focusedSuggestion__QnSXo,.TagInput_suggestion__k4kfh:hover{background-color:var(--blue-gray-200)}.TagInput_tagContainer__LflB5{display:flex;gap:8px;flex-wrap:wrap;width:100%}.TagInput_footerText__O_1t4{color:var(--blue-gray-600);font-size:11px;font-weight:400}.Selector_container__v2jVr{display:flex;flex-direction:column;gap:8px;font-family:var(--font-family);width:100%;max-width:280px}.Selector_selector__U2foh{border-radius:4px;display:flex;width:100%}.Selector_selector__U2foh button{border:1px solid var(--blue-gray-200);padding:6px 0;flex:1 1;margin:0;background-color:transparent}.Selector_selector__U2foh button:enabled:hover{cursor:pointer;background-color:var(--blue-gray-100)}.Selector_selector__U2foh button.Selector_selected__nyxjH:enabled:hover{background-color:var(--celadon-blue-dark)}.Selector_selector__U2foh button:first-child{border-radius:4px 0 0 4px}.Selector_selector__U2foh button:last-child{border-radius:0 4px 4px 0}.Selector_selector__U2foh button.Selector_selected__nyxjH{border:1px solid var(--celadon-blue);background-color:var(--celadon-blue)}.Selector_selector__U2foh button.Selector_selected__nyxjH .Selector_text__Rs7F7{color:var(--white)}.Selector_selector__U2foh button.Selector_selected__nyxjH:focus{outline-offset:4px}.Selector_selectorContainer__h4Mg1{display:flex;align-items:center;gap:4px}.Selector_icon__Y9S90{color:var(--blue-gray-500)}.Selector_text__Rs7F7{margin:0;font-weight:400;font-size:16px;font-family:Source Sans Pro,sans-serif}.IconWithExplanation_container__21T3S{position:relative;display:inline-flex}.IconWithExplanation_explanation___qwbw{position:absolute;top:50%;transform:translateY(-50%);left:120%;background-color:#fff;border-radius:4px;box-shadow:0 .5px 5px rgba(0,0,0,.039),0 3.75px 11px rgba(0,0,0,.19);z-index:3;padding:8px;width:150px}.IconWithExplanation_container__21T3S:hover .IconWithExplanation_explanation___qwbw{display:block}.IconWithExplanation_arrow__fCL_Y{content:"";position:absolute;left:-10px;margin-left:-5px;top:50%;transform:translateY(-50%);border:10px solid transparent;border-right-color:#fff}@media (max-width:768px){.IconWithExplanation_arrow__fCL_Y{margin-right:-5px;margin-left:0;border-color:transparent transparent transparent #fff;left:auto;right:-10px}.IconWithExplanation_explanation___qwbw{left:auto;right:120%}}.Checkbox_wrapper__HI5JR{display:flex;gap:10px;align-items:center}.Checkbox_input__RZSBL:hover,.Checkbox_label__7BF2Y:hover,.Checkbox_wrapper__HI5JR:hover{cursor:pointer}.Checkbox_wrapper__HI5JR .Checkbox_label__7BF2Y{font-weight:400;color:var(--blue-gray-900);margin:0}.Checkbox_wrapper__HI5JR .Checkbox_input__RZSBL{width:20px;height:20px;accent-color:var(--celadon-blue);margin:0}.Checkbox_wrapper__HI5JR:hover .Checkbox_input__RZSBL{accent-color:var(--celadon-blue-dark)}.Checkbox_wrapper__HI5JR:hover .Checkbox_label__7BF2Y{color:var(--celadon-blue-dark)}.RadioButton_root__592m2{--size:16px;--borderSize:2px;display:inline-flex;align-items:center;justify-content:flex-start;gap:12px;padding-block:8px;cursor:pointer}.RadioButton_root__592m2.RadioButton_large__K_9NI{--size:24px}.RadioButton_root__592m2.RadioButton_rtl__rAcql{font-size:16px;justify-content:space-between}.RadioButton_root__592m2:hover:not(.RadioButton_labelOnLeft__nb96s){font-weight:600}.RadioButton_root__592m2 input[type=radio]{position:relative;width:var(--size);height:var(--size);-webkit-appearance:none;-moz-appearance:none;appearance:none;background-color:transparent;margin:0;border:var(--borderSize) solid var(--blue-gray-500);border-radius:100vmax}.RadioButton_root__592m2 input[type=radio]:before{content:"";position:absolute;top:50%;left:50%;display:block;width:calc(var(--size) / 2);height:calc(var(--size) / 2);background-color:var(--celadon-blue);border-radius:100vmax;translate:-50% -50%;transition:scale .2s;scale:0}.RadioButton_root__592m2.RadioButton_checked__dJL5R input[type=radio]{border-color:var(--celadon-blue)}.RadioButton_root__592m2.RadioButton_checked__dJL5R input[type=radio]:before{scale:1}.Banner_root__pOnAN{--background:var(--celadon-blue-dark);width:100vw;height:40px;display:flex;align-items:center;justify-content:center;background-color:var(--background);color:var(--white);font-size:var(--font-size-medium);font-weight:var(--font-weight-medium);opacity:0;visibility:hidden;transition:opacity .2s ease-in-out,visibility .2s ease-in-out;z-index:1}.Banner_visible__q1NMx{opacity:1;visibility:visible;transition-delay:0s}.Banner_aboveHeader__hC_i_{position:static;top:0}.Banner_belowHeader__Mcfew{position:absolute;top:var(--header-height)}.Banner_info__7SXSI{--background:var(--color-info)}.Banner_success__wveEs{--background:var(--color-success)}.Banner_error__lW5NB{--background:var(--color-error)}.Banner_warning__pEEt7{--background:var(--color-warning)}.Metadata_root__oCstk{display:grid;grid-template-columns:repeat(2,minmax(0,1fr));place-content:flex-start;padding-block-start:32px}.Metadata_root__oCstk .author{grid-column:1;grid-row:3;align-content:flex-start}.Metadata_root__oCstk .description,.Metadata_root__oCstk .pills-list,.Metadata_root__oCstk .stats,.Metadata_root__oCstk .title{grid-column:1/span 2}.Metadata_root__oCstk .title{margin-bottom:8px}.Metadata_root__oCstk .stats{margin-bottom:12px}.Metadata_root__oCstk .description{margin-top:12px}.Metadata_root__oCstk .pills-list{margin-block-start:20px;margin-block-end:18px}@media screen and (max-width:928px){.Metadata_root__oCstk{margin-block:8px 20px}.Metadata_root__oCstk .stats{margin-bottom:16px}.Metadata_root__oCstk .description{margin-top:0}.Metadata_root__oCstk .author{grid-column:2;grid-row:5;margin-block-start:12px;margin-block-end:6px;justify-content:end}.Metadata_root__oCstk.Metadata_authorInDescription__hW1wb:not(.Metadata_expanded__uLdy4) .author{display:none}.Metadata_root__oCstk.Metadata_authorInDescription__hW1wb.Metadata_expanded__uLdy4 .author{display:flex;grid-column:1/span 2;grid-row:5;justify-content:flex-start;margin-block-start:12px}.Metadata_root__oCstk .pills-list{margin-block-start:16px;margin-block-end:0}}.Metadata_root__oCstk .metadata-recs{grid-column:1/-1}.Title_root__svkHQ{font-size:clamp(26px,1vw + 1rem,28px);font-weight:600;line-height:1.25;min-width:0;word-break:break-word}.Heading_heading__3MAvZ,.Title_root__svkHQ{color:var(--blue-gray-900)}.Heading_h1__3k7S2{font-size:32px;font-weight:700}.Heading_h2__f9yvs{font-size:28px;font-weight:600}.Heading_h3__f1djd{font-size:24px}.Heading_h4__7tfLE{font-size:20px}.Heading_h5__jVM0l{font-size:16px;font-weight:400}.Heading_h6__uUTrd{font-size:14px;font-weight:400}.Stats_root__p_BoZ{display:flex;-moz-column-gap:6px;column-gap:6px;align-items:center;flex-wrap:wrap;color:var(--blue-gray-600);font-size:1rem}.Stats_bullet__DbT30:after{content:"•"}@media screen and (max-width:928px){.Stats_formatBullet__AHEoj{width:100%}.Stats_formatBullet__AHEoj:after{content:""}}.Likes_root__WVQ1_{cursor:pointer;transition:color .2s ease-in-out;border-radius:4px}.Likes_root__WVQ1_:hover{color:var(--blue-gray-700)}.LikeModal_more__R9uAk{justify-self:center;color:var(--celadon-blue);font-weight:500;opacity:0;visibility:hidden;transition:opacity .2s ease-in-out,visibility .2s ease-in-out}.LikeModal_more__R9uAk.LikeModal_visible__t1vr4{opacity:1;visibility:visible;transition-delay:0s}.LikesUserListSkeleton_root__pUbb3{display:flex;flex-direction:column;gap:12px}.LikesUserListSkeleton_root__pUbb3 .skeleton:first-child{grid-row:1/span 2}.LikesUserListSkeleton_item__mONV4{display:grid;grid-template-columns:max-content 1fr;grid-column-gap:12px;-moz-column-gap:12px;column-gap:12px;grid-row-gap:4px;row-gap:4px}.Modal_root__TYkzh[open]{transform:translateY(0)}.Modal_root__TYkzh{--max-height:calc(100dvb - var(--header-height));--title-size:80px;width:-moz-max-content;width:max-content;max-height:var(--max-height);place-self:center;border-radius:var(--border-radius);transform:translateY(8px)}@starting-style{.Modal_root__TYkzh[open]{transform:translateY(8px)}}.Modal_root__TYkzh.Modal_small__hupRE{width:400px}.Modal_root__TYkzh.Modal_medium__j8NOV{width:600px}.Modal_root__TYkzh.Modal_large__ygVmr{width:800px}.Modal_root__TYkzh.Modal_xlarge__HeXWk{width:960px}.Modal_wrapper__4UTGq{position:relative;display:grid}.Modal_wrapper__4UTGq .modal-content{flex:1 1;max-height:calc(var(--max-height) - var(--title-size));overflow:clip auto}@media screen and (max-width:520px){.Modal_root__TYkzh,.Modal_root__TYkzh.Modal_large__ygVmr,.Modal_root__TYkzh.Modal_medium__j8NOV,.Modal_root__TYkzh.Modal_small__hupRE,.Modal_root__TYkzh.Modal_xlarge__HeXWk{width:100vw}}@media screen and (max-width:928px){.Modal_root__TYkzh.Modal_bottomPlacement__BUbfp{width:100vw;top:unset;bottom:0;border-bottom-left-radius:0;border-bottom-right-radius:0}}.Modal_title__xhSfl{height:var(--title-size);line-height:var(--title-size);color:var(--blue-gray-900);font-size:20px;font-weight:600;border-bottom:1px solid var(--blue-gray-200);padding-inline-start:20px;padding-inline-end:60px}.Modal_title__xhSfl:first-letter{text-transform:capitalize}.Modal_content__R1F4d{padding-inline:20px;padding-block:24px}.Modal_root__TYkzh:has(.Modal_actions__t63hZ) .Modal_content__R1F4d{padding-block-end:96px}.Modal_actions__t63hZ{position:absolute;inset-inline:0;bottom:0;display:flex;align-items:center;justify-content:flex-end;gap:24px;background-color:var(--white);padding-inline:20px;padding-block:16px}.ViewModal_content__GPKXy{padding-block:0}.ViewModal_row__xLxnz{display:flex;justify-content:space-between;border-bottom:1px dashed var(--blue-gray-300);color:var(--blue-gray-800);padding:16px 0}.ViewModal_row__xLxnz:last-child{border-bottom:none}.Author_link___lVxw,.Author_root___6Bx5{display:flex;align-items:center;gap:8px}.Author_link___lVxw{color:var(--blue-gray-800);font-size:var(--font-size-large);font-weight:600;text-decoration:none;transition:color .15s}.Author_link___lVxw:hover{color:var(--celadon-blue-dark)}.Avatar_root__GNWHY{display:inline-flex;align-items:center;justify-content:center;flex-shrink:0;background-color:var(--white);color:var(--blue-gray-300);border-radius:50%;font-size:16px;font-weight:600;text-align:center;-webkit-user-select:none;-moz-user-select:none;user-select:none;overflow:hidden}.Avatar_initials__EJfVt{color:var(--white);transition:background-color .2s ease-in-out}.Avatar_initials__EJfVt,.Avatar_initials__EJfVt:hover{background-color:var(--blue-gray-600)}.Avatar_image__Bbtll{width:100%;height:100%;-o-object-fit:cover;object-fit:cover}.Description_root__kt4uq{--line-height:26px;position:relative}.Description_root__kt4uq.Description_clamped__PaV_1{padding-bottom:25px}.Description_root__kt4uq.Description_clamped__PaV_1 .Description_wrapper__hYE9_{mask-image:linear-gradient(to bottom,var(--white),transparent);-webkit-mask-image:linear-gradient(to bottom,var(--white),transparent)}.Description_wrapper__hYE9_{min-height:var(--line-height);display:-webkit-box;overflow:hidden;text-overflow:ellipsis;-webkit-box-orient:vertical;-webkit-line-clamp:2}.Description_noClamp__1z7c5,.Description_wrapper__hYE9_.Description_expanded__lRamt{-webkit-line-clamp:unset;-webkit-mask-image:none;mask-image:none}.Description_wrapper__hYE9_.Description_expanded__lRamt{height:auto}.Description_wrapper__hYE9_ p{color:var(--blue-gray-600);font-size:18px;line-height:var(--line-height);white-space:pre-wrap;word-break:break-word}.Description_root__kt4uq button{padding-inline:0}.Description_root__kt4uq .Description_more__ChrRK{position:absolute;padding:0;bottom:0;height:26px}.Description_root__kt4uq .Description_less__BvWbY{display:none}@media screen and (max-width:928px){.Description_root__kt4uq .Description_more__ChrRK{right:0;background-color:#fff}.Description_root__kt4uq.Description_clamped__PaV_1{padding-bottom:0}.Description_root__kt4uq .Description_less__BvWbY,.Description_root__kt4uq .Description_more__ChrRK{display:flex;height:var(--line-height)}}.Description_root__kt4uq .Description_less__BvWbY.Description_hidden__a9QZJ,.Description_root__kt4uq .Description_more__ChrRK.Description_hidden__a9QZJ{display:none}.PillsList_root__2EydN{display:flex;flex-wrap:wrap;align-items:center;gap:8px}.Pill_root__IqOYH{--bg:color-mix(in srgb,var(--celadon-blue-dark),90% transparent);--color:var(--blue-gray-800);height:40px;display:inline-flex;align-items:center;gap:6px;background-color:var(--bg);border-radius:100vmax;color:var(--color);font-size:16px;font-weight:600;padding-inline:16px;transition:color .2s ease-in-out,background-color .2s ease-in-out,filter .2s ease-in-out;cursor:pointer;-webkit-user-select:none;-moz-user-select:none;user-select:none;text-decoration:none;white-space:nowrap}.Pill_root__IqOYH:not(.Pill_selected__VPtHm):hover{filter:brightness(.6)}.Pill_root__IqOYH.Pill_selected__VPtHm{--bg:var(--blue-gray-900);--color:var(--white)}@media screen and (max-width:520px){.Pill_root__IqOYH{height:28px;padding-inline:12px;gap:4px;font-size:12px}.Pill_icon__xE_Cg{--size:18px!important}}.MetadataToolbar_root__c03ao{--shadow-opacity:0;position:sticky;display:grid;height:var(--metadata-toolbar-height);background-color:var(--white);z-index:var(--header-index)}.MetadataToolbar_root__c03ao.MetadataToolbar_withStickyHeader__EDA4C{top:var(--header-height)}.MetadataToolbar_wrapper__r7XEc{position:relative;display:grid;grid-template-columns:minmax(0,1fr) max-content 1fr;align-items:center;padding-inline-end:20px;padding-block:16px}.MetadataToolbar_underline__QQn0C{grid-column:1/-1;grid-row:2;height:1px;position:absolute;bottom:0;right:-20px;left:-30vw;background-color:var(--blue-gray-200);box-shadow:0 2px 4px 0 rgba(0 0 0/var(--shadow-opacity));animation:MetadataToolbar_reveal-shadow__5yBxP linear both;animation-timeline:scroll(block);animation-range:150px 450px}.MetadataToolbar_title__jfTWv{font-size:18px;font-weight:600}.MetadataToolbar_actions__FB33C{width:-moz-max-content;width:max-content;justify-self:flex-end;align-self:flex-start;display:flex;grid-column-end:-1}.MetadataToolbar_pageNumber__i6Bhj{display:inline-flex;align-items:center;margin-inline:1em;height:44px}@media screen and (max-width:928px){.MetadataToolbar_root__c03ao{display:none}}@keyframes MetadataToolbar_reveal-shadow__5yBxP{to{--shadow-opacity:0.122}}.Actions_root__00yIC{display:flex;gap:6px;align-items:baseline;min-height:44px}@media screen and (max-width:928px){.Actions_root__00yIC{min-height:35px}.Actions_root__00yIC .gallery-view-button{padding-inline:0;margin-inline-end:auto}}@media (min-width:929px){.Actions_root__00yIC button.fullscreen-button,.Actions_root__00yIC button.like-button,.Actions_root__00yIC button.more-button,.Actions_root__00yIC button.save-slideshow-button,.Actions_root__00yIC button.study-guide-button{--foreground:var(--blue-gray-700);border:1px solid var(--blue-gray-200)}}button.GalleryViewButton_root__s4Nw4{--size:32px;color:var(--blue-gray-700)}button.GalleryViewButton_root__s4Nw4:hover{background-color:transparent}@media only screen and (min-width:929px){button.GalleryViewButton_root__s4Nw4{display:none}}.Tooltip_root__7FS0Y{background:var(--midnight-green-dark);border-radius:4px;box-shadow:.5px 5px rgba(0,0,0,.04),0 4px 11px rgba(0,0,0,.2);color:var(--white);font-size:12px;line-height:15px;padding-inline:8px;padding-block:6px;margin:1ch}.SaveToListButton_root__T0ltL{margin:0}div.SaveToNewListModal_input__Fi90k{max-width:unset;margin-bottom:24px}.SaveToNewListModal_checkboxWrapper__y_w0m .SaveToNewListModal_checkboxLabel__lMiU9:hover,.SaveToNewListModal_checkboxWrapper__y_w0m:hover .SaveToNewListModal_checkboxLabel__lMiU9,.SaveToNewListModal_checkboxWrapper__y_w0m:hover .SaveToNewListModal_input__Fi90k{color:var(--blue-gray-800)}.SaveToNewListModal_checkboxWrapper__y_w0m .SaveToNewListModal_checkboxLabel__lMiU9{color:var(--blue-gray-800);font-weight:400;font-size:14px;flex-direction:column;display:flex;line-height:18px}.SaveToNewListModal_imageContainer__Dx4nD{display:flex;flex-direction:column;justify-content:center;align-items:center;width:178px;margin:0 auto 24px}.SaveToNewListModal_imageContainer__Dx4nD img{height:100px}.SaveToNewListModal_errorContainer__FBZPH{margin:16px 0}.ConfirmRemoveSavedModal_description__2EAEu{color:var(--blue-gray-800);font-weight:400;font-size:16px;line-height:20px;padding:24px 0}.MoreDropdownButton_root__ciXL6{width:100%;padding:0 16px}.MoreDropdownButton_list__VI5Ah{list-style-type:none;padding:0;margin:0}.MoreDropdownButton_item__t4HmI{margin:8px 0}.MoreDropdownButton_actionButton__gJ41E{color:var(--black);display:flex;align-items:center;font-size:16px;height:48px;gap:12px}.MoreDropdownButton_actionButton__gJ41E:focus{outline:none}.MoreDropdownButton_drawerHeading__chh4X{margin:24px 0;display:flex;align-items:center}.MoreDropdownButton_icon__DxfY4{color:var(--blue-gray-800)}.MoreDropdownButton_tooltip__az4od{white-space:nowrap}.MoreDropdownButton_trigger__x7wGs{width:44px;height:44px;color:var(--foreground);border-radius:4px}@media (max-width:928px){.MoreDropdownButton_trigger__x7wGs{width:32px;height:32px}}.ReportForm_root__REyLj{display:grid;grid-gap:12px;gap:12px;font-size:1rem;color:var(--blue-gray-800)}.ReportForm_root__REyLj label,.ReportForm_row__dXO5W{display:flex;align-items:center;gap:12px}.ReportForm_root__REyLj label{gap:8px}.ReportForm_root__REyLj label:has(input[type=radio]:checked){font-weight:600;color:var(--blue-gray-900)}.ReportForm_root__REyLj input[type=radio]{accent-color:var(--celadon-blue)}.ReportForm_root__REyLj select{width:100%;display:block;height:40px;-webkit-appearance:none;-moz-appearance:none;appearance:none;background:url('data:image/svg+xml;utf8,<svg fill="lightgray" xmlns="http://www.w3.org/2000/svg" viewBox="0 0 24 24" width="24px" height="24px"><path d="M7 10l5 5 5-5z"/></svg>') no-repeat right 8px center;border:1px solid var(--blue-gray-300);border-radius:4px;color:var(--blue-gray-600);font-size:1rem;padding-block:4px;padding-inline:16px;margin-block-start:8px}.ReportForm_root__REyLj select.changed{background-image:url('data:image/svg+xml;utf8,<svg fill="lightgray" xmlns="http://www.w3.org/2000/svg" viewBox="0 0 24 24" width="24px" height="24px"><path d="M7 10l5 5 5-5z"/></svg>');color:var(--blue-gray-900)}.ReportForm_root__REyLj a{color:var(--celadon-blue);font-weight:600;text-decoration:none}.ReportForm_root__REyLj p{color:var(--blue-gray-700)}.ReportForm_actions__LPSXn{display:flex;gap:12px;justify-content:flex-end;margin-block-start:8px}.ReportForm_copyrightPolicy__z8QMf{display:unset}.ReportForm_info__2Xs_1{max-width:200px;padding-inline:16px;padding-block:20px}.ReportForm_error__bC3zN{display:flex;align-items:center;gap:8px;border-radius:8px;background-color:#f2dede;color:var(--blue-gray-800);padding-inline:16px;padding-block:12px;margin-block-end:16px}.DownloadButton_root__adY00{margin-left:auto;display:inline-grid;gap:6px;justify-items:center;flex-shrink:0;text-align:center}.DownloadButton_savedStyling__k18od{font-weight:600;font-size:18px}.Player_root__L1AmF{position:relative;min-width:0;background-color:var(--snow-gray);padding:20px}.Player_root__L1AmF.Player_isInfographic__iQpvH{background-color:unset;padding-inline-start:1px;padding-inline-end:20px}@media (max-width:928px){.Player_root__L1AmF,.Player_root__L1AmF.Player_isInfographic__iQpvH{background-color:unset;padding-inline:2px}}.VerticalPlayer_root__K8_YS{position:relative;display:grid;grid-template-columns:minmax(0,1fr);grid-gap:24px;gap:24px}@media screen and (max-width:928px){.VerticalPlayer_root__K8_YS{gap:8px}}.VerticalSlide_root__jU_9r{position:relative}.VerticalSlide_root__jU_9r .vertical-slide-image{position:absolute;inset:0}.VerticalSlide_root__jU_9r .save-slide-button{background-color:var(--blue-gray-100)}@media only screen and (max-width:520px){.VerticalSlide_root__jU_9r .save-slide-button,.VerticalSlide_root__jU_9r .slide-download-button{--size:32px}}.VerticalSlideImage_root__64KSA{position:relative;width:100%;height:100%;box-shadow:0 0 0 1px var(--blue-gray-200);border-radius:8px;overflow:clip}.VerticalSlideImage_image__VtE4p{-o-object-fit:contain;object-fit:contain;opacity:1;transition:opacity .3s var(--ease);@starting-style{opacity:0}}.VerticalSlideActions_root__azvaH{display:flex;flex-direction:column;gap:12px;position:absolute;top:12px;right:12px;bottom:12px;opacity:1;transition:opacity .3s ease-in .1s;z-index:1}@starting-style{.VerticalSlideActions_root__azvaH{opacity:0}}button.VerticalSlideActions_downloadButton__ancDu{border-radius:4px;color:var(--blue-gray-700);background-color:var(--blue-gray-100)}button.VerticalSlideActions_fullscreen__5CkK_{background-color:var(--blue-gray-100);color:var(--blue-gray-700);margin-block-start:auto}@media (max-width:928px){.VerticalSlideActions_root__azvaH>div:first-child{display:none}}.FreestarVideoAd_root__KDWgl{min-width:0;flex-shrink:0;aspect-ratio:16/9}.VerticalInterstitialAdWrapper_root__LxQh8{container-type:inline-size;position:relative;display:grid;min-height:280px;overflow-x:clip}.VerticalInterstitialAdWrapper_root__LxQh8:has(.interstitial-ad-container.has-fetched):not(:has(.interstitial-ad-container.has-fetched .freestar-ad-container:not(.unfilled))){display:none}.VerticalInterstitialAdWrapper_root__LxQh8:has(div.interstitial-ad-container.has-fetched div.freestar-ad-container.filled div),.VerticalInterstitialAdWrapper_root__LxQh8:has(div.interstitial-ad-container.has-fetched div.freestar-ad-container.unfilled iframe){display:grid!important}.VerticalInterstitial_root__Dunl7{display:none}@container (max-width: 480px){.VerticalInterstitial_root__Dunl7{display:block;justify-self:center;align-self:center}}.FreestarAdContainer_root__qPPC_{position:relative;display:grid;place-content:center}.FreestarAdContainer_root__qPPC_.FreestarAdContainer_withFallback__A4lgm{aspect-ratio:var(--fallback-aspect-ratio)}.FreestarAdContainer_fallback__WreT9{inset:0;grid-template-columns:unset;place-content:center}.AdFallback_root__uAXsl{display:grid;justify-items:center;grid-template-columns:1fr;background-color:hsla(0,0%,97%,.9);z-index:0}.VerticalInterstitialDesktop_root__s5iTm{display:none;place-content:center}@container (min-width: 481px){.VerticalInterstitialDesktop_root__s5iTm{display:grid}}.BelowReaderAd_root__NKeGg{margin-top:16px;margin-bottom:60px}.BelowReaderAd_root__NKeGg.BelowReaderAd_desktop__7_JN7{display:block;justify-items:flex-start}.BelowReaderAd_root__NKeGg.BelowReaderAd_mobile__08T3d{display:none}.BelowReaderAd_root__NKeGg .fallback-ad{justify-self:flex-start}@media screen and (max-width:928px){.BelowReaderAd_root__NKeGg.BelowReaderAd_desktop__7_JN7{display:none}.BelowReaderAd_root__NKeGg.BelowReaderAd_mobile__08T3d{display:block;justify-items:center}}.Sidebar_root__1BbNu{width:var(--sidebar-size);max-height:100dvh;overflow:clip scroll;position:sticky;top:var(--metadata-toolbar-offset,0);display:flex;flex-direction:column;padding-inline-start:28px;padding-block-start:32px;padding-block-end:430px}.Sidebar_root__1BbNu.Sidebar_withStickyHeader__u8U46{top:var(--header-height)}@media (max-width:1050px){.Sidebar_root__1BbNu{display:none}}.AboveRecsAd_root__iTmTR{min-height:280px;margin-block-end:32px}.AboveRecsAd_root__iTmTR .freestar-ad-container{place-content:flex-start}@media (max-width:1050px){.AboveRecsAd_root__iTmTR .freestar-ad-container{place-content:center}}.AboveRecsAd_mobileAd__LYgqf{display:none}.AboveRecsAd_desktopAd__ymykj{display:block}@media (max-width:1050px){.AboveRecsAd_mobileAd__LYgqf{display:block}.AboveRecsAd_desktopAd__ymykj{display:none}}.RailRecommendations_root__zqtZQ{display:flex;flex-direction:column;gap:8px;padding-block-end:24px}.RailRecommendations_title__kt1D2{font-size:24px;color:var(--blue-gray-900);font-weight:600;margin-block-end:20px}.RailCard_root__rZUGY{--card-hover-background:var(--blue-gray-100);width:100%;position:relative;padding-block:12px}.RailCard_root__rZUGY.slideshow-card{grid-template-columns:160px minmax(0,1fr);grid-template-rows:auto;gap:12px}.RailCard_root__rZUGY .slideshow-card-content{padding:0}.RailCard_link__d3BBm{z-index:1}.RailCard_root__rZUGY .RailCard_title__Tvfiv{font-size:16px}.RailCard_stats__ZvZms .text{font-size:12px}.RailCard_root__rZUGY .RailCard_author__JYeYZ{color:var(--blue-gray-700);text-decoration:none}@media screen and (min-width:929px){.RailCard_root__rZUGY.RailCard_hasDescription__MjSgf:hover{background-color:var(--blue-gray-100)}}.SlideshowCard_root__pD8t4{position:relative;display:grid;grid-template-rows:max-content minmax(0,1fr);grid-template-columns:minmax(0,1fr);align-content:flex-start;color:var(--blue-gray-600)}.SlideshowCard_root__pD8t4:hover .SlideshowCard_thumb__86aJk{scale:1.02}.SlideshowCard_content__xh7kV{display:grid;padding:16px 0;grid-template-columns:1fr 32px;grid-template-rows:auto;grid-row-gap:4px;row-gap:4px}.SlideshowCard_content__xh7kV .slideshow-right-side{grid-column-start:2;grid-row-start:1;grid-row-end:span 3}.SlideshowCard_content__xh7kV .slideshow-author,.SlideshowCard_content__xh7kV .slideshow-stats,.SlideshowCard_content__xh7kV .slideshow-title,.SlideshowCard_content__xh7kV .slideshow-type{grid-column-start:1}.SlideshowCard_content__xh7kV .slideshow-author,.SlideshowCard_content__xh7kV .slideshow-stats{margin-block-start:auto}.SlideshowCardLink_root__p8KI7{position:absolute;inset:0;z-index:1;margin:4px}.Thumbnail_root__qLW0K{--ease:cubic-bezier(0.2,0,0,1);position:relative;background-color:var(--blue-gray-100);border:1px solid var(--blue-gray-100);border-radius:8px;scale:1;transition:scale .2s var(--ease);overflow:clip}.Thumbnail_thumb__UXO3a{position:absolute;inset:0;width:100%;height:100%;opacity:1;transition:opacity .3s var(--ease);transition-delay:calc(30ms * var(--index));@starting-style{opacity:0}}.Thumbnail_blur__opK6A{filter:blur(8px)}.Thumbnail_cover__1zsIi{-o-object-fit:cover;object-fit:cover}.Thumbnail_contain__K6M0d{-o-object-fit:contain;object-fit:contain}.SlideshowTitle_root__2VccW{display:-webkit-box;color:var(--blue-gray-900);font-size:18px;font-weight:600;line-height:1.2;margin-bottom:8px;white-space:break-spaces;word-break:break-word;-webkit-box-orient:vertical}.SaveButton_root__b6zuu{z-index:1}.SlideshowAuthor_root__IkT1_{color:var(--celadon-blue-dark);font-weight:600;text-decoration:underline;-webkit-text-decoration-color:transparent;text-decoration-color:transparent;text-decoration-thickness:1.5px;text-underline-offset:2px;transition:-webkit-text-decoration-color .2s ease-out;transition:text-decoration-color .2s ease-out;transition:text-decoration-color .2s ease-out,-webkit-text-decoration-color .2s ease-out;z-index:2}.SlideshowAuthor_root__IkT1_:hover{-webkit-text-decoration-color:var(--celadon-blue);text-decoration-color:var(--celadon-blue)}.SlideshowStats_root__EQOR1{display:flex;align-items:center;gap:6px}.SlideshowStats_text___WD7l{color:var(--blue-gray-600)}.CountTag_root__y1hE1,.SplitDot_root__lTZDc{color:var(--blue-gray-600);font-weight:400}.RelatedContent_root__29Np1{background-color:var(--blue-gray-100);border-top:1px solid var(--blue-gray-200);border-bottom:1px solid var(--blue-gray-200);padding-block:32px;position:relative}.RelatedContent_wrapper__riU7l{display:grid;grid-template-columns:minmax(0,1fr);grid-gap:32px;gap:32px;max-width:var(--max-content-width);margin-inline:auto}.RelatedContent_title__QUhpL{text-align:center;font-size:32px;font-weight:700}@media screen and (max-width:520px){.RelatedContent_wrapper__riU7l{padding-inline:16px}}.BottomRecommendation_root__7aU9w{display:grid;grid-gap:4px;gap:4px;padding-inline:24px}.BottomRecommendation_title__SRj68{font-size:22px;font-weight:600}.BottomRecommendation_count__4HpLo{font-size:16px;font-weight:400}@media screen and (max-width:520px){.BottomRecommendation_root__7aU9w{padding-inline:0}}.BottomRecommendationContent_root__2qm4_{display:grid}.BottomRecommendationContent_hidden__CUlxl{display:none}.BottomRecommendationContent_root__2qm4_ .mobile-recs{display:grid}.BottomRecommendationContent_root__2qm4_ .desktop-recs{display:none}@media screen and (min-width:520px){.BottomRecommendationContent_root__2qm4_ .mobile-recs{display:none}.BottomRecommendationContent_root__2qm4_ .desktop-recs{display:flex}}.Slider_root__c0Jo8{position:relative;display:grid}.Slider_scroller__KHjw4{display:flex;gap:20px;overflow:auto;scroll-snap-type:x mandatory;overscroll-behavior-x:contain;max-inline-size:100%;min-block-size:100%;touch-action:pan-x;-ms-overflow-style:none;scrollbar-width:none}.Slider_scroller__KHjw4::-webkit-scrollbar{display:none}.Slider_scroller__KHjw4>*{flex-grow:1;flex-shrink:0;scroll-snap-align:start}.Slider_scroller__KHjw4>:last-child{scroll-snap-align:end}.Slider_arrow__8LCca{display:grid;place-content:center;width:36px;height:36px;position:absolute;top:50%;background:var(--white);border:1px solid var(--blue-gray-200);border-radius:100vmax;box-shadow:0 2px 4px 0 rgba(0,0,0,.25);color:var(--blue-gray-800);padding:0;opacity:1;visibility:visible;pointer-events:all;transition:opacity .2s ease-in-out,visibility .2s ease-in-out;transition-delay:0s;cursor:pointer;z-index:1}.Slider_prev__YMssa{left:0;translate:-50% -50%}.Slider_next__fa9IO{right:0;translate:50% -50%}.Slider_hidden__rs7nK{opacity:0;visibility:hidden;pointer-events:none}.BottomRecommendationCard_root__gffTk{inline-size:clamp(220px,12.63rem + 3.45vw,260px);position:relative;background-color:none}.BottomRecommendationCard_root__gffTk .slideshow-thumbnail{border:1px solid var(--blue-gray-200)}.ScribdRecommendation_root__t3ezS{display:grid;grid-gap:16px;gap:16px;padding:0 24px}.ScribdRecommendation_header__Jw_M1{display:grid;grid-template-columns:1fr max-content}.ScribdRecommendation_title__JZ5p7{font-size:22px;font-weight:600}.ScribdRecommendation_link__4DVQz{align-self:end;grid-column:2;grid-row:1/span 2;color:var(--celadon-blue-dark)}.ScribdRecommendation_link__4DVQz:hover{color:var(--celadon-blue)}.ScribdRecommendationCard_root__ef2Y_{--rec-bg-1:#f1e3e3;--rec-bg-2:#f6f4e3;--rec-bg-3:#e1eaec;--rec-bg-4:#efebef;--rec-bg-5:#f1f0f0;--rec-bg-6:#f4eadb;--rec-bg-7:#eaeee7;--rec-bg-8:#e3e8ef;--rec-bg-9:#f1eee6;max-width:172px;position:relative;height:100%;display:grid;grid-template-columns:minmax(0,1fr);grid-template-rows:max-content minmax(0,1fr);align-content:flex-start;background-color:var(--white);border:1px solid var(--blue-gray-200);box-shadow:none;transition:box-shadow .2s ease-in-out;text-decoration:none}.ScribdRecommendationCard_root__ef2Y_:hover{box-shadow:0 2px 10px rgba(0,0,0,.1)}.ScribdRecommendationCard_thumb__5VVNh{position:relative;display:flex;background-color:var(--blue-gray-200);margin-block-start:24px;margin-inline:20px}.ScribdRecommendationCard_root__ef2Y_:before{position:absolute;inset:0;aspect-ratio:19/16;content:"";background-color:var(--block-color)}.ScribdRecommendationCard_thumb__5VVNh img{--reveal-delay:calc(30ms * var(--card-index));aspect-ratio:inherit;box-shadow:0 4px 6px rgba(0,0,0,.2);-o-object-fit:cover;object-fit:cover;opacity:0;visibility:hidden;transition:opacity .3s var(--ease);transition-delay:var(--reveal-delay)}.ScribdRecommendationCard_loaded__FTN_f img{opacity:1;visibility:visible}.ScribdRecommendationCard_content__ObcvL{display:flex;flex-direction:column;padding-block:20px;padding-inline:16px}.ScribdRecommendationCard_content__ObcvL .rating{padding-block-start:8px;margin-block-start:auto}@media (max-width:928px){.ScribdRecommendationCard_content__ObcvL .rating{flex-direction:column;align-items:flex-start}}.Rating_root__fgZQJ{display:flex;align-items:center;-moz-column-gap:6px;column-gap:6px;white-space:nowrap}.Rating_root__fgZQJ :first-child{color:#e47b01;font-size:16px;line-height:1}.Rating_root__fgZQJ :last-child{color:var(--blue-gray-600);font-size:14px;letter-spacing:-.25px}.Transcript_root__Vrf6Q{width:100%;max-width:var(--max-content-width);display:grid;grid-gap:8px;gap:8px;padding:32px 16px;margin-inline:auto;position:relative;background:#fff}.Transcript_title__YgAka{display:flex;align-items:center;gap:4px;font-weight:300;word-break:break-word}.Transcript_list__faItj{list-style-type:none;padding-inline-start:0;word-break:break-word}.Transcript_link__MLbGS{color:var(--celadon-blue);font-weight:700;line-height:22px;text-decoration:none;cursor:pointer}.EditorsNotes_root__3PcDF{padding:32px 16px;margin:0 auto}.EditorsNotes_heading__XR9E6{font-weight:700;font-size:22px}.EditorsNotes_list__NcG5Y{padding-left:30px;font-size:18px;font-style:italic;color:var(--blue-gray-600)}.EditorsNotes_item__ebBbj{word-break:break-word}.EditorsNotes_link__HRUAh{color:var(--celadon-blue);font-weight:700;line-height:22px;text-decoration:none;cursor:pointer}@media screen and (min-width:1696px){.EditorsNotes_root__3PcDF{max-width:1688px}}dialog.FullscreenModal_root__efM9m[open]{animation:none;opacity:1;scale:1}dialog.FullscreenModal_root__efM9m{--max-height:100dvh;width:100dvw;height:100dvh;border-radius:0;opacity:0;scale:.96}dialog.FullscreenModal_root__efM9m .FullscreenModal_content__bQ6mt{width:100svw;height:100svh;display:grid;padding:0;max-height:100svh}@starting-style{dialog.FullscreenModal_root__efM9m[open]{opacity:0;scale:.96}} /*# sourceMappingURL=4cc9ce2486d20250.css.map*/</style></head><body><noscript><iframe title="gtm-noscript" src="https://www.googletagmanager.com/ns.html?id=GTM-M36RG8PT" height="0" width="0" style="display:none;visibility:hidden"></iframe></noscript><div id="__next"><div class="__variable_11ceb6 __className_11ceb6" style="overflow:clip"><div class="PageProgressBar_root__1y_Q3"><div class="PageProgressBar_bar__Kyoem" style="transform:scaleX(0)"></div></div><div data-freestar-ad="__320x50 __1140x90" id="slideshare_pushdown-pushdown-cls" style="height:var(--pushdown-height)"></div><header class="Header_root__8A86O"><div class="Header_wrapper___zBfP"><button aria-label="Open navigation menu" class="Hamburger_root__tHlgC" data-cy="mobile-header-menu" type="button"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/hamburger.5a525941.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><dialog id=":R15d6:" class="drawer Drawer_root__ob4ZM" data-placement="left"><div class="Drawer_content__ye6ZB"></div><button autofocus="" type="button" aria-label="Close" class="close-button CloseButton_root__JCTRm" data-cy="drawer-close-button"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/close.393923c4.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button></dialog><a aria-label="SlideShare a Scribd company logo" class="Logo_root__Qba3h" data-cy="slideshare-logo" title="Return to the homepage" href="https://www.slideshare.net/"><img alt="SlideShare a Scribd company logo" loading="lazy" width="120" height="30" decoding="async" data-nimg="1" style="color:transparent" srcSet="https://public.slidesharecdn.com/images/next/svg/logo/slideshare-scribd-company.svg?w=128&q=75 1x, https://public.slidesharecdn.com/images/next/svg/logo/slideshare-scribd-company.svg?w=256&q=75 2x" src="https://public.slidesharecdn.com/images/next/svg/logo/slideshare-scribd-company.svg?w=256&q=75"/></a></div><div class="SearchForm_root__usp4s"><form data-testid="search-form" role="search"><input name="searchfrom" type="hidden" value="header"/><input type="text" autoComplete="off" aria-label="Search SlideShare" id="nav-search-query" data-cy="search-field" name="q" placeholder="Search" aria-haspopup="dialog" aria-controls=":R16d6:" popovertarget=":R16d6:" style="anchor-name:--popover-R16d6" value=""/><div class="SearchForm_popover__K3_9y SearchForm_hidden__rZp69" id=":R16d6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R16d6"></div><button type="submit" class="SearchForm_submit__U8kPR" id="search-submit" data-cy="search-submit"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/search.844a289d.svg);background-color:currentColor"></span><span class="sr-only">Submit Search</span></span></button></form></div><div class="HeaderActions_root__11_ai undefined"></div></header><div class="SlideshowScreen_root__k9j1_ SlideshowScreen_withKeyMoments__RbHLe"><!--$--><div class="metadata Metadata_root__oCstk" data-cy="metadata"><h1 class="Heading_heading__3MAvZ Heading_h1__3k7S2 title Title_root__svkHQ">Powerplanning</h1><div class="stats Stats_root__p_BoZ"><time dateTime="2013-03-02 11:24:00 UTC">Mar 2, 2013</time><span class="Stats_bullet__DbT30"></span><span class="Text_root__is73U Text_medium__rk8Tn text" style="-webkit-line-clamp:0">Download as PPTX, PDF</span><span class="Stats_bullet__DbT30 Stats_formatBullet__AHEoj"></span><span class="Text_root__is73U Text_weight-strong__yEO2S Text_secondary__EPWj0 Text_medium__rk8Tn Likes_root__WVQ1_ text" style="-webkit-line-clamp:0" tabindex="0">0 likes</span><span class="Stats_bullet__DbT30"></span><span class="Text_root__is73U Text_weight-strong__yEO2S Text_secondary__EPWj0 Text_medium__rk8Tn Likes_root__WVQ1_ text" style="-webkit-line-clamp:0" tabindex="0">4,254<!-- --> <!-- -->views</span></div><div class="author Author_root___6Bx5"><a data-cy="author-link" class="Author_link___lVxw ellipsis" title="VLSI SYSTEM Design" href="https://www.slideshare.net/vlsisyst"><div class="Avatar_root__GNWHY" style="width:24px;height:24px;line-height:24px"><img class="Avatar_image__Bbtll" src="https://cdn.slidesharecdn.com/profile-photo-vlsisyst-48x48.jpg?cb=1523413164" alt="VLSI SYSTEM Design" loading="lazy" decoding="sync"/></div><span>VLSI SYSTEM Design</span></a></div><div class="description Description_root__kt4uq Description_clamped__PaV_1"><div class="Description_wrapper__hYE9_" data-cy="document-description"><p>https://www.udemy.com/vlsi-academy Usually, while drawing any circuit on paper, we have only one 'vdd' at the top and one 'vss' at the bottom. But on a chip, it becomes necessary to have a grid structure of power, with more than one 'vdd' and 'vss'. The concept of power grid structure would be uploaded soon. It is actually the scaling trend that drives chip designers for power grid structure. <button type="button" class="Button_root__i1yp0 Button_primary__K25Gq Button_text__ZT_3O Button_small__sqsEx Description_less__BvWbY Description_hidden__a9QZJ" data-testid="button" aria-label="Read less"><span>Read less</span></button></p></div><button type="button" class="Button_root__i1yp0 Button_primary__K25Gq Button_text__ZT_3O Button_small__sqsEx Description_more__ChrRK" data-testid="button" aria-label="Read more" data-cy="read-more-button"><span>Read more</span></button></div></div><div class="MetadataToolbar_root__c03ao metadata-toolbar" data-cy="slideshow-toolbar"><div class="MetadataToolbar_wrapper__r7XEc"><div class="actions Actions_root__00yIC"><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_regular__i28NX Button_icon__1C4qi like-button unliked" data-testid="button" aria-label="Like" data-favorited="false" data-cy="like-button" aria-haspopup="dialog" aria-controls=":R2654f6:" popovertarget=":R2654f6:" style="anchor-name:--popover-R2654f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/like.3870b074.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R2654f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R2654f6"></div><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_regular__i28NX Button_icon__1C4qi save-slideshow-button SaveToListButton_root__T0ltL" data-testid="button" aria-label="Save for later" data-cy="save-slideshow-logged-out-button" aria-haspopup="dialog" aria-controls=":R3654f6:" popovertarget=":R3654f6:" style="anchor-name:--popover-R3654f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R3654f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R3654f6"></div><button type="button" class="unstyled-button more-button MoreDropdownButton_trigger__x7wGs" aria-label="More options" data-cy="more-options-icon" data-testid="ellipsis" aria-haspopup="dialog" aria-controls=":Rc654f6:" popovertarget=":Rc654f6:" style="anchor-name:--popover-Rc654f6"><span class="icon Icon_root__AjZyv" style="anchor-name:--popover-R3c654f6" aria-haspopup="dialog" aria-controls=":R3c654f6:" popovertarget=":R3c654f6:"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/more-horizontal.f69be1b8.svg);background-color:currentColor"></span><span class="sr-only"></span></span><div class="Tooltip_root__7FS0Y" id=":R3c654f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R3c654f6"></div></button><div class="" id=":Rc654f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rc654f6"></div></div><span data-cy="page-number" class="MetadataToolbar_pageNumber__i6Bhj">1<!-- --> <!-- -->of<!-- --> <!-- -->22</span><div class="MetadataToolbar_actions__FB33C"><div class="DownloadButton_root__adY00"><button type="button" class="Button_root__i1yp0 Button_primary__K25Gq Button_contained__gyjai Button_large__Yv_oe" data-testid="download-button" data-cy="download-button-toolbar" label="Download now" aria-label="Download now" aria-haspopup="dialog" aria-controls=":R1e54f6:" popovertarget=":R1e54f6:" style="anchor-name:--popover-R1e54f6"><span><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/download.b1b2622c.svg);background-color:currentColor"></span><span class="sr-only"></span></span>Download now</span></button><div class="" id=":R1e54f6:" popover="manual" data-popover-position="bottom" style="position-anchor:--popover-R1e54f6"></div><span class="Text_root__is73U Text_secondary__EPWj0 Text_xsmall__vYp9p text" style="-webkit-line-clamp:0">Downloaded 69 times</span></div></div><div class="MetadataToolbar_underline__QQn0C"></div></div></div><!--/$--><!--$--><!--/$--><div class="player Player_root__L1AmF"><div id="new-player" class="vertical-player VerticalPlayer_root__K8_YS" data-slideshow-id="16883536"><div><div id="slide1" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-0" alt="Floorplanning is basically the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip. " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="eager" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-1-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-1-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-1-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-1-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide2" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-1" alt="Floorplanning is basically the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip. It is attained by following steps: • Partition and synthesize larger designs into smaller modules consisting of IP’s and std cells " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-2-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-2-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-2-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-2-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide3" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-2" alt="Floorplanning is basically the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip. It is attained by following steps: • Define width and Height of ‘core’ and ‘Die’ using the physical area of synthesized netlist, utilization factor and aspect ratio " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-3-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-3-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-3-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-3-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide4" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-3" alt="Floorplanning is basically the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip. It is attained by following steps: • Define locations of pre-placed cells " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-4-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-4-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-4-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-4-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide5" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-4" alt="Floorplanning is basically the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip. It is attained by following steps: • Place de-coupling capacitors surrounding pre-placed cells " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-5-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-5-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-5-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-5-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide6" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-5" alt="Floorplanning is basically the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip. It is attained by following steps: • Power Planning " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-6-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-6-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-6-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-6-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide7" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-6" alt="Floorplanning is basically the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip. It is attained by following steps: • IO Pin/Pad placement " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-7-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-7-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-7-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-7-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide8" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-7" alt="• We have defined the Width and Height of the core. • Also defined the locations of pre-placed cells. • We have encapsulated the Pre-placed Cells by Decoupling capacitor. • We will do the Power Planning for the Chip " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-8-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-8-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-8-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-8-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide9" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-8" alt="Power Planning Power Planning is to connect each cell in the design to the power source i.e. VDD and VSS. • If observed, while drawing any circuit on paper, we have only one 'vdd' at the top and one 'vss' at the bottom. • But on a chip, it becomes necessary to have a grid structure for power source, with more than one 'vdd' and 'vss‘. 3/2/2013 9 " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-9-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-9-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-9-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-9-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide10" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-9" alt="We will convert the power lines into the Power Mesh. " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-10-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-10-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-10-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-10-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide11" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-10" alt="DECAP1 D Block a Block b 4 Pre-placed DECAP2 Cells Block c DECAP3 Die Core " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-11-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-11-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-11-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-11-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide12" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-11" alt="DECAP1 D Block a Block b 4 DECAP2 Block c DECAP3 Die Core " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-12-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-12-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-12-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-12-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide13" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-12" alt="DECAP1 D Block a Block b 4 DECAP2 Block c DECAP3 Die Vss Core Vdd " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-13-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-13-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-13-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-13-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide14" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-13" alt="DECAP1 D Block a Block b 4 DECAP2 Block c DECAP3 Die Vss Core Vdd " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-14-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-14-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-14-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-14-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide15" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-14" alt="DECAP1 D Block a Block b 4 DECAP2 Block c DECAP3 Die Vss Core Vdd " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-15-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-15-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-15-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-15-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide16" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-15" alt="DECAP1 D Block a Block b 4 DECAP2 Block c DECAP3 Die Vss Core Vdd " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-16-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-16-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-16-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-16-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide17" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-16" alt="DECAP1 D Block a Block b 4 DECAP2 Block c DECAP3 Die Vss Core Vdd Contact " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-17-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-17-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-17-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-17-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide18" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-17" alt="DECAP1 D Block a Block b 4 DECAP2 Block c DECAP3 Die Vss Core Vdd Contact " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-18-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-18-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-18-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-18-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide19" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-18" alt="DECAP1 D Block a Block b 4 DECAP2 Block c DECAP3 Die Vss Core Vdd Contact " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-19-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-19-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-19-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-19-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide20" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-19" alt="The Power mesh will look as below. " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-20-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-20-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-20-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-20-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide21" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-20" alt="Vss Vdd Contact " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-21-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-21-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-21-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-21-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div><div><div id="slide22" class="VerticalSlide_root__jU_9r slide-item" style="aspect-ratio:720 / 540" data-cy="slide-container"><div class="VerticalSlideImage_root__64KSA"><img id="slide-image-21" alt="DECAP1 D Block a Block b 4 DECAP2 Block c DECAP3 Die Vss Core Vdd Contact " class="vertical-slide-image VerticalSlideImage_image__VtE4p" data-testid="vertical-slide-image" loading="lazy" srcSet="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-22-320.jpg 320w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-22-638.jpg 638w, https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/75/Powerplanning-22-2048.jpg 2048w" src="https://image.slidesharecdn.com/powerplanning-130302112400-phpapp01/85/Powerplanning-22-320.jpg" sizes="100vw"/></div><!--$--><!--/$--></div></div></div></div><div id="sidebar" class="sidebar hide-scrollbar Sidebar_root__1BbNu" style="--slots:8"><div class="above-recs-ad-wrapper AboveRecsAd_root__iTmTR"><div class="AboveRecsAd_desktopAd__ymykj"><div class="freestar-ad-container FreestarAdContainer_root__qPPC_" style="--fallback-aspect-ratio:300 / 260" data-testid="freestar-ad-container"><div><div class="" id="above-recs-desktop-ad-sm"></div></div></div></div></div><!--$--><div class="rail-recs RailRecommendations_root__zqtZQ"><h2 class="RailRecommendations_title__kt1D2">Recommended</h2><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-0" aria-haspopup="dialog" aria-controls=":Rgql4f6:" popovertarget=":Rgql4f6:" style="anchor-name:--popover-Rgql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/physical-design-flow-challenges-at-28nm-on-multimillion-gate-blocks/46926242"><span class="sr-only">Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:0" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks" src="https://cdn.slidesharecdn.com/ss_thumbnails/einfochipsnileshranpuravineethv1-150413034320-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks" src="https://cdn.slidesharecdn.com/ss_thumbnails/einfochipsnileshranpuravineethv1-150413034320-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mgql4f6:" popovertarget=":R5mgql4f6:" style="anchor-name:--popover-R5mgql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mgql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mgql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/eInfochips_Solution">eInfochips (An Arrow Company)</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This is the presentation that was shared by Nilesh Ranpura and Vineeth Mathramkote at CDNLIVE 2015. The session briefs about the implementation challenges and covers the solution approach and how to achieve results</span></div></div><div class="" id=":Rgql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rgql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-1" aria-haspopup="dialog" aria-controls=":Rhal4f6:" popovertarget=":Rhal4f6:" style="anchor-name:--popover-Rhal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/physical-design-108881407/108881407"><span class="sr-only">Physical design </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:1" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Physical design " src="https://cdn.slidesharecdn.com/ss_thumbnails/physicaldesign-180807064635-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Physical design " src="https://cdn.slidesharecdn.com/ss_thumbnails/physicaldesign-180807064635-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Physical design </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Physical design for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mhal4f6:" popovertarget=":R5mhal4f6:" style="anchor-name:--popover-R5mhal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mhal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mhal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/Manjunathhosmani1">Manjunath hosmani</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Physical design involves taking a synthesized netlist as input and performing floorplanning, placement, and routing to produce a physical layout. Key inputs include the netlist, timing constraints, physical libraries, and technology files. The process involves floor planning to determine block placement and routing areas, power planning to create the power distribution network, and pre-routing of standard cells and power grids. The goal is to meet timing constraints while minimizing area.</span></div></div><div class="" id=":Rhal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rhal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-2" aria-haspopup="dialog" aria-controls=":Rhql4f6:" popovertarget=":Rhql4f6:" style="anchor-name:--popover-Rhql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/flip-chip-technology/44731371"><span class="sr-only">Flip Chip technology</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:2" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Flip Chip technology" src="https://cdn.slidesharecdn.com/ss_thumbnails/flipchip1-150216080723-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Flip Chip technology" src="https://cdn.slidesharecdn.com/ss_thumbnails/flipchip1-150216080723-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Flip Chip technology</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Flip Chip technology for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mhql4f6:" popovertarget=":R5mhql4f6:" style="anchor-name:--popover-R5mhql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mhql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mhql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/DeepakFloria">Mantra VLSI</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">flip chip technology, C4B, RDL routing, solder bump, bump technology, IO ring connection with Bump, Bump to pad routing using redistribution layer.</span></div></div><div class="" id=":Rhql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rhql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-3" aria-haspopup="dialog" aria-controls=":Rial4f6:" popovertarget=":Rial4f6:" style="anchor-name:--popover-Rial4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/timing-and-design-closure-in-physical-design-flows/59774393"><span class="sr-only">Timing and Design Closure in Physical Design Flows </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:3" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Timing and Design Closure in Physical Design Flows " src="https://cdn.slidesharecdn.com/ss_thumbnails/isqed2002-paper-160319220256-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Timing and Design Closure in Physical Design Flows " src="https://cdn.slidesharecdn.com/ss_thumbnails/isqed2002-paper-160319220256-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Timing and Design Closure in Physical Design Flows </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Timing and Design Closure in Physical Design Flows for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mial4f6:" popovertarget=":R5mial4f6:" style="anchor-name:--popover-R5mial4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mial4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mial4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ocoudert">Olivier Coudert</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">A physical design flow consists of producing a production-worthy layout from a gate-level netlist subject to a set of constraints. We focus on the problems imposed by shrinking process technologies. It exposes the problems of timing closure, signal integrity, design variable dependencies, clock and power/ground routing, and design signoff. It also surveys some physical design flows, and outlines a refinement-based flow. </span></div></div><div class="" id=":Rial4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rial4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-4" aria-haspopup="dialog" aria-controls=":Riql4f6:" popovertarget=":Riql4f6:" style="anchor-name:--popover-Riql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/clock-tree-timing-101/67748105"><span class="sr-only">Clock Tree Timing 101</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:4" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Clock Tree Timing 101" src="https://cdn.slidesharecdn.com/ss_thumbnails/ebookclocktreetiming101-161027204158-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Clock Tree Timing 101" src="https://cdn.slidesharecdn.com/ss_thumbnails/ebookclocktreetiming101-161027204158-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Clock Tree Timing 101</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Clock Tree Timing 101 for later" role="switch" aria-haspopup="dialog" aria-controls=":R5miql4f6:" popovertarget=":R5miql4f6:" style="anchor-name:--popover-R5miql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5miql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5miql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/siliconlabs">Silicon Labs</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Silicon Labs offers the industry’s broadest portfolio of crystal oscillator, clock generator and clock buffer products and PCI Express (PCIe) clock generators and PCI Express buffers.</span></div></div><div class="" id=":Riql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Riql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-5" aria-haspopup="dialog" aria-controls=":Rjal4f6:" popovertarget=":Rjal4f6:" style="anchor-name:--popover-Rjal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/asic-backend-design/15804101"><span class="sr-only">Asic backend design</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:5" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Asic backend design" src="https://cdn.slidesharecdn.com/ss_thumbnails/asicpd-121230112948-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Asic backend design" src="https://cdn.slidesharecdn.com/ss_thumbnails/asicpd-121230112948-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Asic backend design</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Asic backend design for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mjal4f6:" popovertarget=":R5mjal4f6:" style="anchor-name:--popover-R5mjal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mjal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mjal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/kbipeen">kbipeen</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document provides an overview of the ASIC back-end design flow, including physical design steps like floorplanning, placement, clock tree synthesis, and routing. It describes how CAD tools like Astro are used to automate the complex physical design process and optimize a design for timing while meeting other constraints. Key aspects of the flow include floorplanning the design, performing timing-driven placement and routing, building clock trees, and verifying the final implementation against timing and functional requirements.</span></div></div><div class="" id=":Rjal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rjal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-6" aria-haspopup="dialog" aria-controls=":Rjql4f6:" popovertarget=":Rjql4f6:" style="anchor-name:--popover-Rjql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/basic-synthesis-flow-and-commands-in-digital-vlsi/237984417"><span class="sr-only">Basic synthesis flow and commands in digital VLSI</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:6" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Basic synthesis flow and commands in digital VLSI" src="https://cdn.slidesharecdn.com/ss_thumbnails/basicsynthesisflowandcommandsindigitalvlsi-200817143917-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Basic synthesis flow and commands in digital VLSI" src="https://cdn.slidesharecdn.com/ss_thumbnails/basicsynthesisflowandcommandsindigitalvlsi-200817143917-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Basic synthesis flow and commands in digital VLSI</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Basic synthesis flow and commands in digital VLSI for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mjql4f6:" popovertarget=":R5mjql4f6:" style="anchor-name:--popover-R5mjql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mjql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mjql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/SuryakantaMangaraj">Surya Raj</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses logic synthesis, including the basic synthesis flow and commands, synthesis script flow, technology libraries, design objects like cells and ports, timing paths, and constraints like defining clocks and input/output delays. It provides examples of setting library variables, reading and writing designs, and applying constraints to clocks and I/O. The document contains information on synthesis tools and processes at a high level.</span></div></div><div class="" id=":Rjql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rjql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-7" aria-haspopup="dialog" aria-controls=":Rkal4f6:" popovertarget=":Rkal4f6:" style="anchor-name:--popover-Rkal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/synopsys-fusion-compilercomprehensive-rtltogdsii-implementation-system/239633312"><span class="sr-only">Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:7" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System" src="https://cdn.slidesharecdn.com/ss_thumbnails/synopsysfusion-201201040138-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System" src="https://cdn.slidesharecdn.com/ss_thumbnails/synopsysfusion-201201040138-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mkal4f6:" popovertarget=":R5mkal4f6:" style="anchor-name:--popover-R5mkal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mkal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mkal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/mostafa_khamis">Mostafa Khamis</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Fusion Compiler is the next-generation RTL-to-GDSII implementation system architected to address the complexities of advanced node designs and deliver up to 20% improved PPA while reducing Time To Results (TTR) by 2X. </span></div></div><div class="" id=":Rkal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rkal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-8" aria-haspopup="dialog" aria-controls=":Rkql4f6:" popovertarget=":Rkql4f6:" style="anchor-name:--popover-Rkql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-physical-design-flow/14250868"><span class="sr-only">VLSI Physical Design Flow(http://www.vlsisystemdesign.com)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:8" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="VLSI Physical Design Flow(http://www.vlsisystemdesign.com)" src="https://cdn.slidesharecdn.com/ss_thumbnails/odijoopresentation1-120911110437-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="VLSI Physical Design Flow(http://www.vlsisystemdesign.com)" src="https://cdn.slidesharecdn.com/ss_thumbnails/odijoopresentation1-120911110437-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">VLSI Physical Design Flow(http://www.vlsisystemdesign.com)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save VLSI Physical Design Flow(http://www.vlsisystemdesign.com) for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mkql4f6:" popovertarget=":R5mkql4f6:" style="anchor-name:--popover-R5mkql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mkql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mkql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Learning becomes Fun.. When tedious & difficult topics like Chip Design are explained in simple n creative videos....https://www.udemy.com/vlsi-academy</span></div></div><div class="" id=":Rkql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rkql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-9" aria-haspopup="dialog" aria-controls=":Rlal4f6:" popovertarget=":Rlal4f6:" style="anchor-name:--popover-Rlal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/ShariefShaikSharief/multi-mode-multi-corner-mmmc"><span class="sr-only">Multi mode multi corner (mmmc)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:9" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Multi mode multi corner (mmmc)" src="https://cdn.slidesharecdn.com/ss_thumbnails/multimodemulticornermmmc-190215102744-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Multi mode multi corner (mmmc)" src="https://cdn.slidesharecdn.com/ss_thumbnails/multimodemulticornermmmc-190215102744-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Multi mode multi corner (mmmc)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Multi mode multi corner (mmmc) for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mlal4f6:" popovertarget=":R5mlal4f6:" style="anchor-name:--popover-R5mlal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mlal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mlal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ShariefShaikSharief">shaik sharief</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses multi mode multi corner (MMMC) analysis for chip design. It defines that a mode is a set of design parameters like clocks and timing constraints, and a corner captures process, voltage, and temperature variations. It provides examples of multiple modes like normal, sleep, and test modes and corners for temperature, voltage, process variations, and parasitic interconnects. The document gives an example of analyzing a chip with 4 modes under 3 process-voltage-temperature corners and 3 parasitic interconnect corners, showing 9 analysis cases.</span></div></div><div class="" id=":Rlal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rlal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-10" aria-haspopup="dialog" aria-controls=":Rlql4f6:" popovertarget=":Rlql4f6:" style="anchor-name:--popover-Rlql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/understanding-cts-logmessages/14763325"><span class="sr-only">Understanding cts log_messages</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:10" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Understanding cts log_messages" src="https://cdn.slidesharecdn.com/ss_thumbnails/understandingctslogmessages-121017042528-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Understanding cts log_messages" src="https://cdn.slidesharecdn.com/ss_thumbnails/understandingctslogmessages-121017042528-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Understanding cts log_messages</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Understanding cts log_messages for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mlql4f6:" popovertarget=":R5mlql4f6:" style="anchor-name:--popover-R5mlql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mlql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mlql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/mujahidmohammed94">Mujahid Mohammed</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Clock tree synthesis log messages provide information about: 1) Preprocessing steps like design updates, buffer characterization, and clock tree constraints. 2) The clock tree synthesis process which includes clustering, meeting timing targets, and reporting results. 3) Post processing steps like embedded clock tree optimization, DRC fixing, and placement legalization.</span></div></div><div class="" id=":Rlql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rlql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-11" aria-haspopup="dialog" aria-controls=":Rmal4f6:" popovertarget=":Rmal4f6:" style="anchor-name:--popover-Rmal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-floor-planning/16365140"><span class="sr-only">VLSI-Physical Design- Tool Terminalogy</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:11" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="VLSI-Physical Design- Tool Terminalogy" src="https://cdn.slidesharecdn.com/ss_thumbnails/hwkakoee2-130205113011-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="VLSI-Physical Design- Tool Terminalogy" src="https://cdn.slidesharecdn.com/ss_thumbnails/hwkakoee2-130205113011-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">VLSI-Physical Design- Tool Terminalogy</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save VLSI-Physical Design- Tool Terminalogy for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mmal4f6:" popovertarget=":R5mmal4f6:" style="anchor-name:--popover-R5mmal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mmal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mmal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/muralikancham">Murali Rai</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The physical design flow begins with placement which involves assigning exact locations to modules like gates and standard cells to minimize area and interconnect cost while meeting timing constraints, with the goal of enabling easier routing; placement tools take as input the netlist, floorplan, libraries, and constraints to perform global and detailed placement as well as optimization. The quality of placement significantly impacts the ability to route the design successfully.</span></div></div><div class="" id=":Rmal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rmal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-12" aria-haspopup="dialog" aria-controls=":Rmql4f6:" popovertarget=":Rmql4f6:" style="anchor-name:--popover-Rmql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/DeepakFloria/physical-design-41787809"><span class="sr-only">Physical design </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:12" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Physical design " src="https://cdn.slidesharecdn.com/ss_thumbnails/physicaldesign-141120002209-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Physical design " src="https://cdn.slidesharecdn.com/ss_thumbnails/physicaldesign-141120002209-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Physical design </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Physical design for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mmql4f6:" popovertarget=":R5mmql4f6:" style="anchor-name:--popover-R5mmql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mmql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mmql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/DeepakFloria">Mantra VLSI</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">VLSI Physical Design Data preparation, import design, floorplan Power planing power ring, core power, IO power ring, pad, bump creattion. Physical Verification.</span></div></div><div class="" id=":Rmql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rmql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-13" aria-haspopup="dialog" aria-controls=":Rnal4f6:" popovertarget=":Rnal4f6:" style="anchor-name:--popover-Rnal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/snug-presentation-final4/34267548"><span class="sr-only">Implementing Useful Clock Skew Using Skew Groups</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:13" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Implementing Useful Clock Skew Using Skew Groups" src="https://cdn.slidesharecdn.com/ss_thumbnails/snugpresentationfinal4-140504173230-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Implementing Useful Clock Skew Using Skew Groups" src="https://cdn.slidesharecdn.com/ss_thumbnails/snugpresentationfinal4-140504173230-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Implementing Useful Clock Skew Using Skew Groups</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Implementing Useful Clock Skew Using Skew Groups for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mnal4f6:" popovertarget=":R5mnal4f6:" style="anchor-name:--popover-R5mnal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mnal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mnal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/miaofei">M Mei</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Implementing useful skew through skew groups or manual buffer insertion can improve timing in a large memory block design. Skew groups define a target skew value for groups of clock pins before clock tree synthesis, resulting in a smaller clock tree, lower power increase, and less routing complexity compared to manual buffer insertion. Manual insertion precisely controls skew by adding buffers but dramatically increases clock cell count and power consumption versus skew groups. Both methods effectively improved setup timing for the memory block design.</span></div></div><div class="" id=":Rnal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rnal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-14" aria-haspopup="dialog" aria-controls=":Rnql4f6:" popovertarget=":Rnql4f6:" style="anchor-name:--popover-Rnql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/floor-plan-power-plan/76888278"><span class="sr-only">Floor plan & Power Plan </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:14" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Floor plan & Power Plan " src="https://cdn.slidesharecdn.com/ss_thumbnails/floorplan-170613043300-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Floor plan & Power Plan " src="https://cdn.slidesharecdn.com/ss_thumbnails/floorplan-170613043300-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Floor plan & Power Plan </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Floor plan & Power Plan for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mnql4f6:" popovertarget=":R5mnql4f6:" style="anchor-name:--popover-R5mnql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mnql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mnql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/pratyushamadapalli">Prathyusha Madapalli</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses floor planning, which is the first step in physical design. It involves defining the size of the chip, pre-placing hard macros, I/O pads, and defining the power grid. A good floorplan partitions the design into functional blocks, arranges the blocks on the chip, places macros and I/O pads, and decides on the power distribution. Key inputs to floorplanning include the netlist, physical and timing libraries, timing constraints, and power requirements. The document then discusses various aspects of floorplanning such as die size calculations, macro placement guidelines, and different types of physical cells.</span></div></div><div class="" id=":Rnql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rnql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-15" aria-haspopup="dialog" aria-controls=":Roal4f6:" popovertarget=":Roal4f6:" style="anchor-name:--popover-Roal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/physical-designcomplete/46117353"><span class="sr-only">Physical design-complete</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:15" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Physical design-complete" src="https://cdn.slidesharecdn.com/ss_thumbnails/physical-design-complete-150321120023-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Physical design-complete" src="https://cdn.slidesharecdn.com/ss_thumbnails/physical-design-complete-150321120023-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Physical design-complete</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Physical design-complete for later" role="switch" aria-haspopup="dialog" aria-controls=":R5moal4f6:" popovertarget=":R5moal4f6:" style="anchor-name:--popover-R5moal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5moal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5moal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/muralikancham">Murali Rai</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses various concepts related to physical design implementation. It describes the inputs and outputs of physical design tools, important checks to perform before starting design such as clock and high fanout net budgeting, and concepts like floorplanning, placement, routing, libraries, multi-voltage design, and clock tree synthesis and optimization.</span></div></div><div class="" id=":Roal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Roal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-16" aria-haspopup="dialog" aria-controls=":Roql4f6:" popovertarget=":Roql4f6:" style="anchor-name:--popover-Roql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/VishalYadav29718/zero-wire-load-modelpptx"><span class="sr-only">ZERO WIRE LOAD MODEL.pptx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:16" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="ZERO WIRE LOAD MODEL.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/zerowireloadmodel-220721062722-17cd71a4-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="ZERO WIRE LOAD MODEL.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/zerowireloadmodel-220721062722-17cd71a4-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">ZERO WIRE LOAD MODEL.pptx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save ZERO WIRE LOAD MODEL.pptx for later" role="switch" aria-haspopup="dialog" aria-controls=":R5moql4f6:" popovertarget=":R5moql4f6:" style="anchor-name:--popover-R5moql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5moql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5moql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/VishalYadav29718">VishalYadav29718</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only"> Here are the key points about setup time, hold time, and insertion delay in VLSI physical design: - Setup time is the minimum time before the clock edge that the data needs to be stable in order for it to be correctly captured by the flip-flop. - Hold time is the minimum time after the clock edge that the data needs to remain stable. It provides a "window" after the clock edge for the data to remain valid. - Insertion delay is the time it takes for the clock signal to propagate from the clock source to a flip-flop input pin through the clock tree. - During clock tree synthesis, the tool aims to balance the insertion delays across the clock tree to minimize</span></div></div><div class="" id=":Roql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Roql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-17" aria-haspopup="dialog" aria-controls=":Rpal4f6:" popovertarget=":Rpal4f6:" style="anchor-name:--popover-Rpal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/define-width-and-height-of-core-and-die/16322178"><span class="sr-only">Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:17" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F..." src="https://cdn.slidesharecdn.com/ss_thumbnails/pnrv1-130203063732-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F..." src="https://cdn.slidesharecdn.com/ss_thumbnails/pnrv1-130203063732-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F... for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mpal4f6:" popovertarget=":R5mpal4f6:" style="anchor-name:--popover-R5mpal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mpal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mpal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">https://www.udemy.com/vlsi-academy The very first step in chip design is floorplanning, in which the width and height of the chip, basically the area of the chip, is defined. A chip consists of two parts, 'core' and 'die'. </span></div></div><div class="" id=":Rpal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rpal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-18" aria-haspopup="dialog" aria-controls=":Rpql4f6:" popovertarget=":Rpql4f6:" style="anchor-name:--popover-Rpql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/pd-flow-i/88973050"><span class="sr-only">Pd flow i</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:18" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Pd flow i" src="https://cdn.slidesharecdn.com/ss_thumbnails/pdflowi-180226131140-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Pd flow i" src="https://cdn.slidesharecdn.com/ss_thumbnails/pdflowi-180226131140-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Pd flow i</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Pd flow i for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mpql4f6:" popovertarget=":R5mpql4f6:" style="anchor-name:--popover-R5mpql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mpql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mpql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AlokKumar506">Alok Kumar</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">1. The document discusses the key steps in physical design flow, including import design, floorplanning, placement and routing. 2. Floorplanning is described as a critical step, where the quality of the floorplan can significantly impact timing closure and design implementation. Good techniques for floorplanning include understanding the design requirements and data flow. 3. The document outlines the major steps in floorplanning such as sizing and shaping blocks, voltage area creation, pin placement, row creation, macro placement, adding blockages and special cells. Qualifying the floorplan involves checks on pin grids, design rules, power connections and more.</span></div></div><div class="" id=":Rpql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rpql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-19" aria-haspopup="dialog" aria-controls=":Rqal4f6:" popovertarget=":Rqal4f6:" style="anchor-name:--popover-Rqal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/gui-for-drv-fix-in-icc2/64635361"><span class="sr-only">GUI for DRV fix in ICC2</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:19" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="GUI for DRV fix in ICC2" src="https://cdn.slidesharecdn.com/ss_thumbnails/guifordrvfix-160802204229-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="GUI for DRV fix in ICC2" src="https://cdn.slidesharecdn.com/ss_thumbnails/guifordrvfix-160802204229-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">GUI for DRV fix in ICC2</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save GUI for DRV fix in ICC2 for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mqal4f6:" popovertarget=":R5mqal4f6:" style="anchor-name:--popover-R5mqal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mqal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mqal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/PrashanthChokkarapu">Prashanth Chokkarapu</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This is a custom GUI, which eases fixing violations either by adding buffer, cloning or sizing. Drop down menu item is created in ICC2 layout window. Desired terminals can be selected by dragging or adding points in rectilinear fashion and desired locations can be selected for adding new buffer. </span></div></div><div class="" id=":Rqal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rqal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-20" aria-haspopup="dialog" aria-controls=":Rqql4f6:" popovertarget=":Rqql4f6:" style="anchor-name:--popover-Rqql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/AlanTran3/timing-closure-document"><span class="sr-only">Timing closure document</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:20" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Timing closure document" src="https://cdn.slidesharecdn.com/ss_thumbnails/timingclosuredocument-140529200816-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Timing closure document" src="https://cdn.slidesharecdn.com/ss_thumbnails/timingclosuredocument-140529200816-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Timing closure document</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Timing closure document for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mqql4f6:" popovertarget=":R5mqql4f6:" style="anchor-name:--popover-R5mqql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mqql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mqql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AlanTran3">Alan Tran</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses timing closure in FPGA design flows. It explains that timing requirements include clock period/frequency, throughput, and latency. The timing-driven design flow in Lattice Diamond is outlined, highlighting key steps like defining timing constraints, running synthesis and implementation with timing analysis, and iterating to resolve issues. Timing constraints like input/output delays and exceptions are also covered.</span></div></div><div class="" id=":Rqql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rqql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-21" aria-haspopup="dialog" aria-controls=":Rral4f6:" popovertarget=":Rral4f6:" style="anchor-name:--popover-Rral4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/intellectual-property-in-vlsi/87032314"><span class="sr-only">Intellectual property in vlsi</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:21" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Intellectual property in vlsi" src="https://cdn.slidesharecdn.com/ss_thumbnails/intellectualpropertyinvlsi-180201092003-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Intellectual property in vlsi" src="https://cdn.slidesharecdn.com/ss_thumbnails/intellectualpropertyinvlsi-180201092003-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Intellectual property in vlsi</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Intellectual property in vlsi for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mral4f6:" popovertarget=":R5mral4f6:" style="anchor-name:--popover-R5mral4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mral4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mral4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/SaranshChoudhary2">Saransh Choudhary</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Intellectual property (IP) in VLSI design refers to reusable logic or functionality units that can be licensed and used as building blocks in chip designs. There are two main types of IP: hard IP, which includes a pre-designed layout, and soft IP, which is delivered as synthesizable code. Soft IP is more vulnerable to theft since it is in a synthesizable form. Memories are often delivered as hard IP since they require careful analog design and peripheral circuitry to be useful. IP differs from custom chip design in that it is created before a specific use, with the goal of reuse across multiple designs. The IP lifecycle involves initial creation through specification, design, testing, and documentation, followed by integration into</span></div></div><div class="" id=":Rral4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rral4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-22" aria-haspopup="dialog" aria-controls=":Rrql4f6:" popovertarget=":Rrql4f6:" style="anchor-name:--popover-Rrql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/asic-design-flow-physical-design-vlsi/147367912"><span class="sr-only">ASIC Design Flow | Physical Design | VLSI </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:22" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="ASIC Design Flow | Physical Design | VLSI " src="https://cdn.slidesharecdn.com/ss_thumbnails/asicdesign-190524032643-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="ASIC Design Flow | Physical Design | VLSI " src="https://cdn.slidesharecdn.com/ss_thumbnails/asicdesign-190524032643-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">ASIC Design Flow | Physical Design | VLSI </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save ASIC Design Flow | Physical Design | VLSI for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mrql4f6:" popovertarget=":R5mrql4f6:" style="anchor-name:--popover-R5mrql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mrql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mrql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/JayantSuthar1">Jayant Suthar</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document provides an overview of the ASIC design process, which includes the following main steps: 1. Front-end design including market research, specification, architecture, and RTL design. 2. Verification of the RTL code by verification engineers. 3. Synthesis of the RTL code into a gate-level netlist, followed by equivalence checking. 4. Physical design including placement and routing of standard cells, followed by extraction of parasitic components and timing analysis. 5. Physical verification including design rule checking and layout vs schematic checking.</span></div></div><div class="" id=":Rrql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rrql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-23" aria-haspopup="dialog" aria-controls=":Rsal4f6:" popovertarget=":Rsal4f6:" style="anchor-name:--popover-Rsal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/eco-86088953/86088953"><span class="sr-only">Eco</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:23" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Eco" src="https://cdn.slidesharecdn.com/ss_thumbnails/cvaue7ysvcwge7tvqfrz-signature-639e321f4c3f5d0e1de28845284efb2a4aa3a269cdb933ed274b8e43418cfdb9-poli-180112201509-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Eco" src="https://cdn.slidesharecdn.com/ss_thumbnails/cvaue7ysvcwge7tvqfrz-signature-639e321f4c3f5d0e1de28845284efb2a4aa3a269cdb933ed274b8e43418cfdb9-poli-180112201509-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Eco</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Eco for later" role="switch" aria-haspopup="dialog" aria-controls=":R5msal4f6:" popovertarget=":R5msal4f6:" style="anchor-name:--popover-R5msal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5msal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5msal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/venkatamekala1">Rajesh M</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses engineering change orders (ECOs) used to fix timing, functional, power, and clock issues after physical design and sign-off. It describes the motivation for ECOs due to tool limitations and differences between implementation and sign-off. Common ECO techniques are listed for timing (driver upsizing, buffer insertion, etc.), power (vt-swapping, downsizing, etc.), and metal-only ECOs. Timing ECO tools from Synopsys, Cadence, and other vendors are also mentioned. Upcoming ECO technologies like dynamic power optimization and automatic legalization are noted.</span></div></div><div class="" id=":Rsal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rsal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-24" aria-haspopup="dialog" aria-controls=":Rsql4f6:" popovertarget=":Rsql4f6:" style="anchor-name:--popover-Rsql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/placement-26813258/26813258"><span class="sr-only">Placement</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:24" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Placement" src="https://cdn.slidesharecdn.com/ss_thumbnails/placement-131003012154-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Placement" src="https://cdn.slidesharecdn.com/ss_thumbnails/placement-131003012154-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Placement</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Placement for later" role="switch" aria-haspopup="dialog" aria-controls=":R5msql4f6:" popovertarget=":R5msql4f6:" style="anchor-name:--popover-R5msql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5msql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5msql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/yogeshmusthyala">yogesh kumar</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses physical design and placement optimization in Cadence tools. It covers prerequisites for placement, goals of optimization including timing, power and area. It describes placement flow and discusses pre-placement, in-placement and post-placement optimization stages. Key techniques covered include zero interconnect timing analysis, scan chain handling, pre-placement optimization, congestion-driven placement, and post-placement optimization before and after clock tree synthesis.</span></div></div><div class="" id=":Rsql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rsql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-25" aria-haspopup="dialog" aria-controls=":Rtal4f6:" popovertarget=":Rtal4f6:" style="anchor-name:--popover-Rtal4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/placement-and-routing-in-full-custom-physical-design/10579348"><span class="sr-only">Placement and routing in full custom physical design</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:25" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Placement and routing in full custom physical design" src="https://cdn.slidesharecdn.com/ss_thumbnails/prppt-111213115844-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Placement and routing in full custom physical design" src="https://cdn.slidesharecdn.com/ss_thumbnails/prppt-111213115844-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Placement and routing in full custom physical design</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Placement and routing in full custom physical design for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mtal4f6:" popovertarget=":R5mtal4f6:" style="anchor-name:--popover-R5mtal4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mtal4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mtal4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/deeptishankardas">Deiptii Das</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses placement and routing in full custom VLSI design. Placement involves techniques like diffusion sharing, gate matrix layout, and common centroid to optimize area and performance. Routing creates physical interconnects and involves global routing, track assignment, detail routing, and search and repair to meet timing constraints while resolving design rule checking violations. The quality of placement impacts routability, and routing aims to minimize delay along critical paths through techniques like buffer insertion and wire sizing.</span></div></div><div class="" id=":Rtal4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rtal4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-26" aria-haspopup="dialog" aria-controls=":Rtql4f6:" popovertarget=":Rtql4f6:" style="anchor-name:--popover-Rtql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/asic-design-40306910/40306910"><span class="sr-only">ASIC DESIGN : PLACEMENT</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:26" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="ASIC DESIGN : PLACEMENT" src="https://cdn.slidesharecdn.com/ss_thumbnails/asicppts-141015103057-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="ASIC DESIGN : PLACEMENT" src="https://cdn.slidesharecdn.com/ss_thumbnails/asicppts-141015103057-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">ASIC DESIGN : PLACEMENT</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save ASIC DESIGN : PLACEMENT for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mtql4f6:" popovertarget=":R5mtql4f6:" style="anchor-name:--popover-R5mtql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mtql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mtql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/helloactiva">helloactiva</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses ASIC placement, which involves assigning exact locations to circuit components within a chip's core area. The goals of placement are to minimize the total interconnect length and costs while meeting timing requirements. It describes two main placement techniques - global placement, which groups cells to minimize interconnect between groups, and detailed placement, which further optimizes placement objectives. The document outlines various placement algorithms, goals, and trends like mixed-size placement and whitespace distribution to improve routability and performance.</span></div></div><div class="" id=":Rtql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rtql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-27" aria-haspopup="dialog" aria-controls=":Rual4f6:" popovertarget=":Rual4f6:" style="anchor-name:--popover-Rual4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-physical-design/21131175"><span class="sr-only">Vlsi physical design</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:27" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Vlsi physical design" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsiphysicaldesign-130513191024-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Vlsi physical design" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsiphysicaldesign-130513191024-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Vlsi physical design</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Vlsi physical design for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mual4f6:" popovertarget=":R5mual4f6:" style="anchor-name:--popover-R5mual4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mual4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mual4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/deepak2392">I World Tech</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses the physical design process for VLSI circuits. It describes the main steps as partitioning, floor planning and placement, routing, layout optimization, and extraction and verification. The goals of physical design are to minimize signal delays, interconnection area, and power usage. Physical design transforms the logical structure of a circuit into its physical layout.</span></div></div><div class="" id=":Rual4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rual4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-28" aria-haspopup="dialog" aria-controls=":Ruql4f6:" popovertarget=":Ruql4f6:" style="anchor-name:--popover-Ruql4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/floorplan-16323225/16323225"><span class="sr-only">Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:28" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)" src="https://cdn.slidesharecdn.com/ss_thumbnails/floorplan-130203075712-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)" src="https://cdn.slidesharecdn.com/ss_thumbnails/floorplan-130203075712-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php) for later" role="switch" aria-haspopup="dialog" aria-controls=":R5muql4f6:" popovertarget=":R5muql4f6:" style="anchor-name:--popover-R5muql4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5muql4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5muql4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">https://www.udemy.com/vlsi-academy Floorplanning is basically the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip. </span></div></div><div class="" id=":Ruql4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Ruql4f6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="recommended-card-description-29" aria-haspopup="dialog" aria-controls=":Rval4f6:" popovertarget=":Rval4f6:" style="anchor-name:--popover-Rval4f6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/need-of-decap/16883894"><span class="sr-only">Need of Decoupling Capacitor</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:29" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Need of Decoupling Capacitor" src="https://cdn.slidesharecdn.com/ss_thumbnails/needofdecap-130302115609-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Need of Decoupling Capacitor" src="https://cdn.slidesharecdn.com/ss_thumbnails/needofdecap-130302115609-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Need of Decoupling Capacitor</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Need of Decoupling Capacitor for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mval4f6:" popovertarget=":R5mval4f6:" style="anchor-name:--popover-R5mval4f6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mval4f6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mval4f6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">https://www.udemy.com/vlsi-academy http://vlsisystemdesign.com/need_for_decap.php A decoupling capacitor is a capacitor, which is used decouple the critical cells from main power supply, in order to protect the cells from the disturbance occuring in the power distribution lines and source. The purpose of using decoupling capacitors is to deliver current to the gates during switching. Herein, we would peep inside the reasons for the distrubance occuring in the power distribution lines.</span></div></div><div class="" id=":Rval4f6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-Rval4f6"></div></div><!--/$--></div></div><!--$--><div class="RelatedContent_root__29Np1"><div class="RelatedContent_wrapper__riU7l"><h2 class="Heading_heading__3MAvZ Heading_h2__f9yvs RelatedContent_title__QUhpL">More Related Content</h2><div class="bottom-recs BottomRecommendation_root__7aU9w"><h3 class="BottomRecommendation_title__SRj68">What's hot<!-- --> <span class="BottomRecommendation_count__4HpLo">(<!-- -->20<!-- -->)</span></h3><div class="BottomRecommendationContent_root__2qm4_"><div class="mobile-recs"><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-0" aria-haspopup="dialog" aria-controls=":R45ipkf6:" popovertarget=":R45ipkf6:" style="anchor-name:--popover-R45ipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-physical-design-flow/14250868"><span class="sr-only">VLSI Physical Design Flow(http://www.vlsisystemdesign.com)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:0" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="VLSI Physical Design Flow(http://www.vlsisystemdesign.com)" src="https://cdn.slidesharecdn.com/ss_thumbnails/odijoopresentation1-120911110437-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="VLSI Physical Design Flow(http://www.vlsisystemdesign.com)" src="https://cdn.slidesharecdn.com/ss_thumbnails/odijoopresentation1-120911110437-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">VLSI Physical Design Flow(http://www.vlsisystemdesign.com)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save VLSI Physical Design Flow(http://www.vlsisystemdesign.com) for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dk5ipkf6:" popovertarget=":R1dk5ipkf6:" style="anchor-name:--popover-R1dk5ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dk5ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dk5ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Learning becomes Fun.. When tedious & difficult topics like Chip Design are explained in simple n creative videos....https://www.udemy.com/vlsi-academy</span></div></div><div class="" id=":R45ipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R45ipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-1" aria-haspopup="dialog" aria-controls=":R49ipkf6:" popovertarget=":R49ipkf6:" style="anchor-name:--popover-R49ipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/ShariefShaikSharief/multi-mode-multi-corner-mmmc"><span class="sr-only">Multi mode multi corner (mmmc)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:1" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Multi mode multi corner (mmmc)" src="https://cdn.slidesharecdn.com/ss_thumbnails/multimodemulticornermmmc-190215102744-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Multi mode multi corner (mmmc)" src="https://cdn.slidesharecdn.com/ss_thumbnails/multimodemulticornermmmc-190215102744-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Multi mode multi corner (mmmc)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Multi mode multi corner (mmmc) for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dk9ipkf6:" popovertarget=":R1dk9ipkf6:" style="anchor-name:--popover-R1dk9ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dk9ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dk9ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ShariefShaikSharief">shaik sharief</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses multi mode multi corner (MMMC) analysis for chip design. It defines that a mode is a set of design parameters like clocks and timing constraints, and a corner captures process, voltage, and temperature variations. It provides examples of multiple modes like normal, sleep, and test modes and corners for temperature, voltage, process variations, and parasitic interconnects. The document gives an example of analyzing a chip with 4 modes under 3 process-voltage-temperature corners and 3 parasitic interconnect corners, showing 9 analysis cases.</span></div></div><div class="" id=":R49ipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R49ipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-2" aria-haspopup="dialog" aria-controls=":R4dipkf6:" popovertarget=":R4dipkf6:" style="anchor-name:--popover-R4dipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/understanding-cts-logmessages/14763325"><span class="sr-only">Understanding cts log_messages</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:2" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Understanding cts log_messages" src="https://cdn.slidesharecdn.com/ss_thumbnails/understandingctslogmessages-121017042528-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Understanding cts log_messages" src="https://cdn.slidesharecdn.com/ss_thumbnails/understandingctslogmessages-121017042528-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Understanding cts log_messages</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Understanding cts log_messages for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkdipkf6:" popovertarget=":R1dkdipkf6:" style="anchor-name:--popover-R1dkdipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkdipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkdipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/mujahidmohammed94">Mujahid Mohammed</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Clock tree synthesis log messages provide information about: 1) Preprocessing steps like design updates, buffer characterization, and clock tree constraints. 2) The clock tree synthesis process which includes clustering, meeting timing targets, and reporting results. 3) Post processing steps like embedded clock tree optimization, DRC fixing, and placement legalization.</span></div></div><div class="" id=":R4dipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4dipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-3" aria-haspopup="dialog" aria-controls=":R4hipkf6:" popovertarget=":R4hipkf6:" style="anchor-name:--popover-R4hipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-floor-planning/16365140"><span class="sr-only">VLSI-Physical Design- Tool Terminalogy</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:3" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="VLSI-Physical Design- Tool Terminalogy" src="https://cdn.slidesharecdn.com/ss_thumbnails/hwkakoee2-130205113011-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="VLSI-Physical Design- Tool Terminalogy" src="https://cdn.slidesharecdn.com/ss_thumbnails/hwkakoee2-130205113011-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">VLSI-Physical Design- Tool Terminalogy</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save VLSI-Physical Design- Tool Terminalogy for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkhipkf6:" popovertarget=":R1dkhipkf6:" style="anchor-name:--popover-R1dkhipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkhipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkhipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/muralikancham">Murali Rai</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The physical design flow begins with placement which involves assigning exact locations to modules like gates and standard cells to minimize area and interconnect cost while meeting timing constraints, with the goal of enabling easier routing; placement tools take as input the netlist, floorplan, libraries, and constraints to perform global and detailed placement as well as optimization. The quality of placement significantly impacts the ability to route the design successfully.</span></div></div><div class="" id=":R4hipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4hipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-4" aria-haspopup="dialog" aria-controls=":R4lipkf6:" popovertarget=":R4lipkf6:" style="anchor-name:--popover-R4lipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/DeepakFloria/physical-design-41787809"><span class="sr-only">Physical design </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:4" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Physical design " src="https://cdn.slidesharecdn.com/ss_thumbnails/physicaldesign-141120002209-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Physical design " src="https://cdn.slidesharecdn.com/ss_thumbnails/physicaldesign-141120002209-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Physical design </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Physical design for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dklipkf6:" popovertarget=":R1dklipkf6:" style="anchor-name:--popover-R1dklipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dklipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dklipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/DeepakFloria">Mantra VLSI</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">VLSI Physical Design Data preparation, import design, floorplan Power planing power ring, core power, IO power ring, pad, bump creattion. Physical Verification.</span></div></div><div class="" id=":R4lipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4lipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-5" aria-haspopup="dialog" aria-controls=":R4pipkf6:" popovertarget=":R4pipkf6:" style="anchor-name:--popover-R4pipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/snug-presentation-final4/34267548"><span class="sr-only">Implementing Useful Clock Skew Using Skew Groups</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:5" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Implementing Useful Clock Skew Using Skew Groups" src="https://cdn.slidesharecdn.com/ss_thumbnails/snugpresentationfinal4-140504173230-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Implementing Useful Clock Skew Using Skew Groups" src="https://cdn.slidesharecdn.com/ss_thumbnails/snugpresentationfinal4-140504173230-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Implementing Useful Clock Skew Using Skew Groups</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Implementing Useful Clock Skew Using Skew Groups for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkpipkf6:" popovertarget=":R1dkpipkf6:" style="anchor-name:--popover-R1dkpipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkpipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkpipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/miaofei">M Mei</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Implementing useful skew through skew groups or manual buffer insertion can improve timing in a large memory block design. Skew groups define a target skew value for groups of clock pins before clock tree synthesis, resulting in a smaller clock tree, lower power increase, and less routing complexity compared to manual buffer insertion. Manual insertion precisely controls skew by adding buffers but dramatically increases clock cell count and power consumption versus skew groups. Both methods effectively improved setup timing for the memory block design.</span></div></div><div class="" id=":R4pipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4pipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-6" aria-haspopup="dialog" aria-controls=":R4tipkf6:" popovertarget=":R4tipkf6:" style="anchor-name:--popover-R4tipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/floor-plan-power-plan/76888278"><span class="sr-only">Floor plan & Power Plan </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:6" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Floor plan & Power Plan " src="https://cdn.slidesharecdn.com/ss_thumbnails/floorplan-170613043300-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Floor plan & Power Plan " src="https://cdn.slidesharecdn.com/ss_thumbnails/floorplan-170613043300-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Floor plan & Power Plan </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Floor plan & Power Plan for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dktipkf6:" popovertarget=":R1dktipkf6:" style="anchor-name:--popover-R1dktipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dktipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dktipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/pratyushamadapalli">Prathyusha Madapalli</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses floor planning, which is the first step in physical design. It involves defining the size of the chip, pre-placing hard macros, I/O pads, and defining the power grid. A good floorplan partitions the design into functional blocks, arranges the blocks on the chip, places macros and I/O pads, and decides on the power distribution. Key inputs to floorplanning include the netlist, physical and timing libraries, timing constraints, and power requirements. The document then discusses various aspects of floorplanning such as die size calculations, macro placement guidelines, and different types of physical cells.</span></div></div><div class="" id=":R4tipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4tipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-7" aria-haspopup="dialog" aria-controls=":R51ipkf6:" popovertarget=":R51ipkf6:" style="anchor-name:--popover-R51ipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/physical-designcomplete/46117353"><span class="sr-only">Physical design-complete</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:7" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Physical design-complete" src="https://cdn.slidesharecdn.com/ss_thumbnails/physical-design-complete-150321120023-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Physical design-complete" src="https://cdn.slidesharecdn.com/ss_thumbnails/physical-design-complete-150321120023-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Physical design-complete</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Physical design-complete for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dl1ipkf6:" popovertarget=":R1dl1ipkf6:" style="anchor-name:--popover-R1dl1ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dl1ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dl1ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/muralikancham">Murali Rai</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses various concepts related to physical design implementation. It describes the inputs and outputs of physical design tools, important checks to perform before starting design such as clock and high fanout net budgeting, and concepts like floorplanning, placement, routing, libraries, multi-voltage design, and clock tree synthesis and optimization.</span></div></div><div class="" id=":R51ipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R51ipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-8" aria-haspopup="dialog" aria-controls=":R55ipkf6:" popovertarget=":R55ipkf6:" style="anchor-name:--popover-R55ipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/VishalYadav29718/zero-wire-load-modelpptx"><span class="sr-only">ZERO WIRE LOAD MODEL.pptx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:8" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="ZERO WIRE LOAD MODEL.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/zerowireloadmodel-220721062722-17cd71a4-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="ZERO WIRE LOAD MODEL.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/zerowireloadmodel-220721062722-17cd71a4-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">ZERO WIRE LOAD MODEL.pptx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save ZERO WIRE LOAD MODEL.pptx for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dl5ipkf6:" popovertarget=":R1dl5ipkf6:" style="anchor-name:--popover-R1dl5ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dl5ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dl5ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/VishalYadav29718">VishalYadav29718</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only"> Here are the key points about setup time, hold time, and insertion delay in VLSI physical design: - Setup time is the minimum time before the clock edge that the data needs to be stable in order for it to be correctly captured by the flip-flop. - Hold time is the minimum time after the clock edge that the data needs to remain stable. It provides a "window" after the clock edge for the data to remain valid. - Insertion delay is the time it takes for the clock signal to propagate from the clock source to a flip-flop input pin through the clock tree. - During clock tree synthesis, the tool aims to balance the insertion delays across the clock tree to minimize</span></div></div><div class="" id=":R55ipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R55ipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-9" aria-haspopup="dialog" aria-controls=":R59ipkf6:" popovertarget=":R59ipkf6:" style="anchor-name:--popover-R59ipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/define-width-and-height-of-core-and-die/16322178"><span class="sr-only">Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:9" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F..." src="https://cdn.slidesharecdn.com/ss_thumbnails/pnrv1-130203063732-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F..." src="https://cdn.slidesharecdn.com/ss_thumbnails/pnrv1-130203063732-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F... for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dl9ipkf6:" popovertarget=":R1dl9ipkf6:" style="anchor-name:--popover-R1dl9ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dl9ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dl9ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">https://www.udemy.com/vlsi-academy The very first step in chip design is floorplanning, in which the width and height of the chip, basically the area of the chip, is defined. A chip consists of two parts, 'core' and 'die'. </span></div></div><div class="" id=":R59ipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R59ipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-10" aria-haspopup="dialog" aria-controls=":R5dipkf6:" popovertarget=":R5dipkf6:" style="anchor-name:--popover-R5dipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/pd-flow-i/88973050"><span class="sr-only">Pd flow i</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:10" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Pd flow i" src="https://cdn.slidesharecdn.com/ss_thumbnails/pdflowi-180226131140-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Pd flow i" src="https://cdn.slidesharecdn.com/ss_thumbnails/pdflowi-180226131140-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Pd flow i</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Pd flow i for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dldipkf6:" popovertarget=":R1dldipkf6:" style="anchor-name:--popover-R1dldipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dldipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dldipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AlokKumar506">Alok Kumar</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">1. The document discusses the key steps in physical design flow, including import design, floorplanning, placement and routing. 2. Floorplanning is described as a critical step, where the quality of the floorplan can significantly impact timing closure and design implementation. Good techniques for floorplanning include understanding the design requirements and data flow. 3. The document outlines the major steps in floorplanning such as sizing and shaping blocks, voltage area creation, pin placement, row creation, macro placement, adding blockages and special cells. Qualifying the floorplan involves checks on pin grids, design rules, power connections and more.</span></div></div><div class="" id=":R5dipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5dipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-11" aria-haspopup="dialog" aria-controls=":R5hipkf6:" popovertarget=":R5hipkf6:" style="anchor-name:--popover-R5hipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/gui-for-drv-fix-in-icc2/64635361"><span class="sr-only">GUI for DRV fix in ICC2</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:11" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="GUI for DRV fix in ICC2" src="https://cdn.slidesharecdn.com/ss_thumbnails/guifordrvfix-160802204229-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="GUI for DRV fix in ICC2" src="https://cdn.slidesharecdn.com/ss_thumbnails/guifordrvfix-160802204229-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">GUI for DRV fix in ICC2</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save GUI for DRV fix in ICC2 for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dlhipkf6:" popovertarget=":R1dlhipkf6:" style="anchor-name:--popover-R1dlhipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dlhipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dlhipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/PrashanthChokkarapu">Prashanth Chokkarapu</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This is a custom GUI, which eases fixing violations either by adding buffer, cloning or sizing. Drop down menu item is created in ICC2 layout window. Desired terminals can be selected by dragging or adding points in rectilinear fashion and desired locations can be selected for adding new buffer. </span></div></div><div class="" id=":R5hipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5hipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-12" aria-haspopup="dialog" aria-controls=":R5lipkf6:" popovertarget=":R5lipkf6:" style="anchor-name:--popover-R5lipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/AlanTran3/timing-closure-document"><span class="sr-only">Timing closure document</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:12" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Timing closure document" src="https://cdn.slidesharecdn.com/ss_thumbnails/timingclosuredocument-140529200816-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Timing closure document" src="https://cdn.slidesharecdn.com/ss_thumbnails/timingclosuredocument-140529200816-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Timing closure document</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Timing closure document for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dllipkf6:" popovertarget=":R1dllipkf6:" style="anchor-name:--popover-R1dllipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dllipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dllipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AlanTran3">Alan Tran</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses timing closure in FPGA design flows. It explains that timing requirements include clock period/frequency, throughput, and latency. The timing-driven design flow in Lattice Diamond is outlined, highlighting key steps like defining timing constraints, running synthesis and implementation with timing analysis, and iterating to resolve issues. Timing constraints like input/output delays and exceptions are also covered.</span></div></div><div class="" id=":R5lipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5lipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-13" aria-haspopup="dialog" aria-controls=":R5pipkf6:" popovertarget=":R5pipkf6:" style="anchor-name:--popover-R5pipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/intellectual-property-in-vlsi/87032314"><span class="sr-only">Intellectual property in vlsi</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:13" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Intellectual property in vlsi" src="https://cdn.slidesharecdn.com/ss_thumbnails/intellectualpropertyinvlsi-180201092003-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Intellectual property in vlsi" src="https://cdn.slidesharecdn.com/ss_thumbnails/intellectualpropertyinvlsi-180201092003-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Intellectual property in vlsi</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Intellectual property in vlsi for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dlpipkf6:" popovertarget=":R1dlpipkf6:" style="anchor-name:--popover-R1dlpipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dlpipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dlpipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/SaranshChoudhary2">Saransh Choudhary</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Intellectual property (IP) in VLSI design refers to reusable logic or functionality units that can be licensed and used as building blocks in chip designs. There are two main types of IP: hard IP, which includes a pre-designed layout, and soft IP, which is delivered as synthesizable code. Soft IP is more vulnerable to theft since it is in a synthesizable form. Memories are often delivered as hard IP since they require careful analog design and peripheral circuitry to be useful. IP differs from custom chip design in that it is created before a specific use, with the goal of reuse across multiple designs. The IP lifecycle involves initial creation through specification, design, testing, and documentation, followed by integration into</span></div></div><div class="" id=":R5pipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5pipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-14" aria-haspopup="dialog" aria-controls=":R5tipkf6:" popovertarget=":R5tipkf6:" style="anchor-name:--popover-R5tipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/asic-design-flow-physical-design-vlsi/147367912"><span class="sr-only">ASIC Design Flow | Physical Design | VLSI </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:14" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="ASIC Design Flow | Physical Design | VLSI " src="https://cdn.slidesharecdn.com/ss_thumbnails/asicdesign-190524032643-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="ASIC Design Flow | Physical Design | VLSI " src="https://cdn.slidesharecdn.com/ss_thumbnails/asicdesign-190524032643-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">ASIC Design Flow | Physical Design | VLSI </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save ASIC Design Flow | Physical Design | VLSI for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dltipkf6:" popovertarget=":R1dltipkf6:" style="anchor-name:--popover-R1dltipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dltipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dltipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/JayantSuthar1">Jayant Suthar</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document provides an overview of the ASIC design process, which includes the following main steps: 1. Front-end design including market research, specification, architecture, and RTL design. 2. Verification of the RTL code by verification engineers. 3. Synthesis of the RTL code into a gate-level netlist, followed by equivalence checking. 4. Physical design including placement and routing of standard cells, followed by extraction of parasitic components and timing analysis. 5. Physical verification including design rule checking and layout vs schematic checking.</span></div></div><div class="" id=":R5tipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5tipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-15" aria-haspopup="dialog" aria-controls=":R61ipkf6:" popovertarget=":R61ipkf6:" style="anchor-name:--popover-R61ipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/eco-86088953/86088953"><span class="sr-only">Eco</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:15" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Eco" src="https://cdn.slidesharecdn.com/ss_thumbnails/cvaue7ysvcwge7tvqfrz-signature-639e321f4c3f5d0e1de28845284efb2a4aa3a269cdb933ed274b8e43418cfdb9-poli-180112201509-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Eco" src="https://cdn.slidesharecdn.com/ss_thumbnails/cvaue7ysvcwge7tvqfrz-signature-639e321f4c3f5d0e1de28845284efb2a4aa3a269cdb933ed274b8e43418cfdb9-poli-180112201509-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Eco</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Eco for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dm1ipkf6:" popovertarget=":R1dm1ipkf6:" style="anchor-name:--popover-R1dm1ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dm1ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dm1ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/venkatamekala1">Rajesh M</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses engineering change orders (ECOs) used to fix timing, functional, power, and clock issues after physical design and sign-off. It describes the motivation for ECOs due to tool limitations and differences between implementation and sign-off. Common ECO techniques are listed for timing (driver upsizing, buffer insertion, etc.), power (vt-swapping, downsizing, etc.), and metal-only ECOs. Timing ECO tools from Synopsys, Cadence, and other vendors are also mentioned. Upcoming ECO technologies like dynamic power optimization and automatic legalization are noted.</span></div></div><div class="" id=":R61ipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R61ipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-16" aria-haspopup="dialog" aria-controls=":R65ipkf6:" popovertarget=":R65ipkf6:" style="anchor-name:--popover-R65ipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/placement-26813258/26813258"><span class="sr-only">Placement</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:16" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Placement" src="https://cdn.slidesharecdn.com/ss_thumbnails/placement-131003012154-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Placement" src="https://cdn.slidesharecdn.com/ss_thumbnails/placement-131003012154-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Placement</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Placement for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dm5ipkf6:" popovertarget=":R1dm5ipkf6:" style="anchor-name:--popover-R1dm5ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dm5ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dm5ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/yogeshmusthyala">yogesh kumar</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses physical design and placement optimization in Cadence tools. It covers prerequisites for placement, goals of optimization including timing, power and area. It describes placement flow and discusses pre-placement, in-placement and post-placement optimization stages. Key techniques covered include zero interconnect timing analysis, scan chain handling, pre-placement optimization, congestion-driven placement, and post-placement optimization before and after clock tree synthesis.</span></div></div><div class="" id=":R65ipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R65ipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-17" aria-haspopup="dialog" aria-controls=":R69ipkf6:" popovertarget=":R69ipkf6:" style="anchor-name:--popover-R69ipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/placement-and-routing-in-full-custom-physical-design/10579348"><span class="sr-only">Placement and routing in full custom physical design</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:17" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Placement and routing in full custom physical design" src="https://cdn.slidesharecdn.com/ss_thumbnails/prppt-111213115844-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Placement and routing in full custom physical design" src="https://cdn.slidesharecdn.com/ss_thumbnails/prppt-111213115844-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Placement and routing in full custom physical design</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Placement and routing in full custom physical design for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dm9ipkf6:" popovertarget=":R1dm9ipkf6:" style="anchor-name:--popover-R1dm9ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dm9ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dm9ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/deeptishankardas">Deiptii Das</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses placement and routing in full custom VLSI design. Placement involves techniques like diffusion sharing, gate matrix layout, and common centroid to optimize area and performance. Routing creates physical interconnects and involves global routing, track assignment, detail routing, and search and repair to meet timing constraints while resolving design rule checking violations. The quality of placement impacts routability, and routing aims to minimize delay along critical paths through techniques like buffer insertion and wire sizing.</span></div></div><div class="" id=":R69ipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R69ipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-18" aria-haspopup="dialog" aria-controls=":R6dipkf6:" popovertarget=":R6dipkf6:" style="anchor-name:--popover-R6dipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/asic-design-40306910/40306910"><span class="sr-only">ASIC DESIGN : PLACEMENT</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:18" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="ASIC DESIGN : PLACEMENT" src="https://cdn.slidesharecdn.com/ss_thumbnails/asicppts-141015103057-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="ASIC DESIGN : PLACEMENT" src="https://cdn.slidesharecdn.com/ss_thumbnails/asicppts-141015103057-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">ASIC DESIGN : PLACEMENT</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save ASIC DESIGN : PLACEMENT for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dmdipkf6:" popovertarget=":R1dmdipkf6:" style="anchor-name:--popover-R1dmdipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dmdipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dmdipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/helloactiva">helloactiva</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This document discusses ASIC placement, which involves assigning exact locations to circuit components within a chip's core area. The goals of placement are to minimize the total interconnect length and costs while meeting timing requirements. It describes two main placement techniques - global placement, which groups cells to minimize interconnect between groups, and detailed placement, which further optimizes placement objectives. The document outlines various placement algorithms, goals, and trends like mixed-size placement and whitespace distribution to improve routability and performance.</span></div></div><div class="" id=":R6dipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R6dipkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="whatsHot-card-description-19" aria-haspopup="dialog" aria-controls=":R6hipkf6:" popovertarget=":R6hipkf6:" style="anchor-name:--popover-R6hipkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-physical-design/21131175"><span class="sr-only">Vlsi physical design</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:19" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Vlsi physical design" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsiphysicaldesign-130513191024-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Vlsi physical design" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsiphysicaldesign-130513191024-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Vlsi physical design</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Vlsi physical design for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dmhipkf6:" popovertarget=":R1dmhipkf6:" style="anchor-name:--popover-R1dmhipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dmhipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dmhipkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/deepak2392">I World Tech</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document discusses the physical design process for VLSI circuits. It describes the main steps as partitioning, floor planning and placement, routing, layout optimization, and extraction and verification. The goals of physical design are to minimize signal delays, interconnection area, and power usage. Physical design transforms the logical structure of a circuit into its physical layout.</span></div></div><div class="" id=":R6hipkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R6hipkf6"></div></div><div class="desktop-recs"><div class="Slider_root__c0Jo8"><div class="Slider_scroller__KHjw4"><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-physical-design-flow/14250868"><span class="sr-only">VLSI Physical Design Flow(http://www.vlsisystemdesign.com)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:0" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="VLSI Physical Design Flow(http://www.vlsisystemdesign.com)" src="https://cdn.slidesharecdn.com/ss_thumbnails/odijoopresentation1-120911110437-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="VLSI Physical Design Flow(http://www.vlsisystemdesign.com)" src="https://cdn.slidesharecdn.com/ss_thumbnails/odijoopresentation1-120911110437-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">VLSI Physical Design Flow(http://www.vlsisystemdesign.com)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save VLSI Physical Design Flow(http://www.vlsisystemdesign.com) for later" role="switch" aria-haspopup="dialog" aria-controls=":R5gmipkf6:" popovertarget=":R5gmipkf6:" style="anchor-name:--popover-R5gmipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5gmipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5gmipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/ShariefShaikSharief/multi-mode-multi-corner-mmmc"><span class="sr-only">Multi mode multi corner (mmmc)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:1" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Multi mode multi corner (mmmc)" src="https://cdn.slidesharecdn.com/ss_thumbnails/multimodemulticornermmmc-190215102744-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Multi mode multi corner (mmmc)" src="https://cdn.slidesharecdn.com/ss_thumbnails/multimodemulticornermmmc-190215102744-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Multi mode multi corner (mmmc)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Multi mode multi corner (mmmc) for later" role="switch" aria-haspopup="dialog" aria-controls=":R5h6ipkf6:" popovertarget=":R5h6ipkf6:" style="anchor-name:--popover-R5h6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5h6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5h6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ShariefShaikSharief">shaik sharief</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/understanding-cts-logmessages/14763325"><span class="sr-only">Understanding cts log_messages</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:2" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Understanding cts log_messages" src="https://cdn.slidesharecdn.com/ss_thumbnails/understandingctslogmessages-121017042528-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Understanding cts log_messages" src="https://cdn.slidesharecdn.com/ss_thumbnails/understandingctslogmessages-121017042528-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Understanding cts log_messages</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Understanding cts log_messages for later" role="switch" aria-haspopup="dialog" aria-controls=":R5hmipkf6:" popovertarget=":R5hmipkf6:" style="anchor-name:--popover-R5hmipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5hmipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5hmipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/mujahidmohammed94">Mujahid Mohammed</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-floor-planning/16365140"><span class="sr-only">VLSI-Physical Design- Tool Terminalogy</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:3" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="VLSI-Physical Design- Tool Terminalogy" src="https://cdn.slidesharecdn.com/ss_thumbnails/hwkakoee2-130205113011-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="VLSI-Physical Design- Tool Terminalogy" src="https://cdn.slidesharecdn.com/ss_thumbnails/hwkakoee2-130205113011-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">VLSI-Physical Design- Tool Terminalogy</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save VLSI-Physical Design- Tool Terminalogy for later" role="switch" aria-haspopup="dialog" aria-controls=":R5i6ipkf6:" popovertarget=":R5i6ipkf6:" style="anchor-name:--popover-R5i6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5i6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5i6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/muralikancham">Murali Rai</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/DeepakFloria/physical-design-41787809"><span class="sr-only">Physical design </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:4" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Physical design " src="https://cdn.slidesharecdn.com/ss_thumbnails/physicaldesign-141120002209-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Physical design " src="https://cdn.slidesharecdn.com/ss_thumbnails/physicaldesign-141120002209-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Physical design </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Physical design for later" role="switch" aria-haspopup="dialog" aria-controls=":R5imipkf6:" popovertarget=":R5imipkf6:" style="anchor-name:--popover-R5imipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5imipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5imipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/DeepakFloria">Mantra VLSI</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/snug-presentation-final4/34267548"><span class="sr-only">Implementing Useful Clock Skew Using Skew Groups</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:5" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Implementing Useful Clock Skew Using Skew Groups" src="https://cdn.slidesharecdn.com/ss_thumbnails/snugpresentationfinal4-140504173230-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Implementing Useful Clock Skew Using Skew Groups" src="https://cdn.slidesharecdn.com/ss_thumbnails/snugpresentationfinal4-140504173230-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Implementing Useful Clock Skew Using Skew Groups</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Implementing Useful Clock Skew Using Skew Groups for later" role="switch" aria-haspopup="dialog" aria-controls=":R5j6ipkf6:" popovertarget=":R5j6ipkf6:" style="anchor-name:--popover-R5j6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5j6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5j6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/miaofei">M Mei</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/floor-plan-power-plan/76888278"><span class="sr-only">Floor plan & Power Plan </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:6" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Floor plan & Power Plan " src="https://cdn.slidesharecdn.com/ss_thumbnails/floorplan-170613043300-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Floor plan & Power Plan " src="https://cdn.slidesharecdn.com/ss_thumbnails/floorplan-170613043300-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Floor plan & Power Plan </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Floor plan & Power Plan for later" role="switch" aria-haspopup="dialog" aria-controls=":R5jmipkf6:" popovertarget=":R5jmipkf6:" style="anchor-name:--popover-R5jmipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5jmipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5jmipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/pratyushamadapalli">Prathyusha Madapalli</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/physical-designcomplete/46117353"><span class="sr-only">Physical design-complete</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:7" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Physical design-complete" src="https://cdn.slidesharecdn.com/ss_thumbnails/physical-design-complete-150321120023-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Physical design-complete" src="https://cdn.slidesharecdn.com/ss_thumbnails/physical-design-complete-150321120023-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Physical design-complete</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Physical design-complete for later" role="switch" aria-haspopup="dialog" aria-controls=":R5k6ipkf6:" popovertarget=":R5k6ipkf6:" style="anchor-name:--popover-R5k6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5k6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5k6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/muralikancham">Murali Rai</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/VishalYadav29718/zero-wire-load-modelpptx"><span class="sr-only">ZERO WIRE LOAD MODEL.pptx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:8" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="ZERO WIRE LOAD MODEL.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/zerowireloadmodel-220721062722-17cd71a4-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="ZERO WIRE LOAD MODEL.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/zerowireloadmodel-220721062722-17cd71a4-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">ZERO WIRE LOAD MODEL.pptx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save ZERO WIRE LOAD MODEL.pptx for later" role="switch" aria-haspopup="dialog" aria-controls=":R5kmipkf6:" popovertarget=":R5kmipkf6:" style="anchor-name:--popover-R5kmipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5kmipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5kmipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/VishalYadav29718">VishalYadav29718</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/define-width-and-height-of-core-and-die/16322178"><span class="sr-only">Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:9" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F..." src="https://cdn.slidesharecdn.com/ss_thumbnails/pnrv1-130203063732-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F..." src="https://cdn.slidesharecdn.com/ss_thumbnails/pnrv1-130203063732-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F... for later" role="switch" aria-haspopup="dialog" aria-controls=":R5l6ipkf6:" popovertarget=":R5l6ipkf6:" style="anchor-name:--popover-R5l6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5l6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5l6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/pd-flow-i/88973050"><span class="sr-only">Pd flow i</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:10" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Pd flow i" src="https://cdn.slidesharecdn.com/ss_thumbnails/pdflowi-180226131140-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Pd flow i" src="https://cdn.slidesharecdn.com/ss_thumbnails/pdflowi-180226131140-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Pd flow i</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Pd flow i for later" role="switch" aria-haspopup="dialog" aria-controls=":R5lmipkf6:" popovertarget=":R5lmipkf6:" style="anchor-name:--popover-R5lmipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5lmipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5lmipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AlokKumar506">Alok Kumar</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/gui-for-drv-fix-in-icc2/64635361"><span class="sr-only">GUI for DRV fix in ICC2</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:11" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="GUI for DRV fix in ICC2" src="https://cdn.slidesharecdn.com/ss_thumbnails/guifordrvfix-160802204229-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="GUI for DRV fix in ICC2" src="https://cdn.slidesharecdn.com/ss_thumbnails/guifordrvfix-160802204229-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">GUI for DRV fix in ICC2</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save GUI for DRV fix in ICC2 for later" role="switch" aria-haspopup="dialog" aria-controls=":R5m6ipkf6:" popovertarget=":R5m6ipkf6:" style="anchor-name:--popover-R5m6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5m6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5m6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/PrashanthChokkarapu">Prashanth Chokkarapu</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/AlanTran3/timing-closure-document"><span class="sr-only">Timing closure document</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:12" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Timing closure document" src="https://cdn.slidesharecdn.com/ss_thumbnails/timingclosuredocument-140529200816-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Timing closure document" src="https://cdn.slidesharecdn.com/ss_thumbnails/timingclosuredocument-140529200816-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Timing closure document</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Timing closure document for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mmipkf6:" popovertarget=":R5mmipkf6:" style="anchor-name:--popover-R5mmipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mmipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mmipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/AlanTran3">Alan Tran</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/intellectual-property-in-vlsi/87032314"><span class="sr-only">Intellectual property in vlsi</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:13" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Intellectual property in vlsi" src="https://cdn.slidesharecdn.com/ss_thumbnails/intellectualpropertyinvlsi-180201092003-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Intellectual property in vlsi" src="https://cdn.slidesharecdn.com/ss_thumbnails/intellectualpropertyinvlsi-180201092003-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Intellectual property in vlsi</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Intellectual property in vlsi for later" role="switch" aria-haspopup="dialog" aria-controls=":R5n6ipkf6:" popovertarget=":R5n6ipkf6:" style="anchor-name:--popover-R5n6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5n6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5n6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/SaranshChoudhary2">Saransh Choudhary</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/asic-design-flow-physical-design-vlsi/147367912"><span class="sr-only">ASIC Design Flow | Physical Design | VLSI </span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:14" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="ASIC Design Flow | Physical Design | VLSI " src="https://cdn.slidesharecdn.com/ss_thumbnails/asicdesign-190524032643-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="ASIC Design Flow | Physical Design | VLSI " src="https://cdn.slidesharecdn.com/ss_thumbnails/asicdesign-190524032643-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">ASIC Design Flow | Physical Design | VLSI </span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save ASIC Design Flow | Physical Design | VLSI for later" role="switch" aria-haspopup="dialog" aria-controls=":R5nmipkf6:" popovertarget=":R5nmipkf6:" style="anchor-name:--popover-R5nmipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5nmipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5nmipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/JayantSuthar1">Jayant Suthar</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/eco-86088953/86088953"><span class="sr-only">Eco</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:15" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Eco" src="https://cdn.slidesharecdn.com/ss_thumbnails/cvaue7ysvcwge7tvqfrz-signature-639e321f4c3f5d0e1de28845284efb2a4aa3a269cdb933ed274b8e43418cfdb9-poli-180112201509-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Eco" src="https://cdn.slidesharecdn.com/ss_thumbnails/cvaue7ysvcwge7tvqfrz-signature-639e321f4c3f5d0e1de28845284efb2a4aa3a269cdb933ed274b8e43418cfdb9-poli-180112201509-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Eco</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Eco for later" role="switch" aria-haspopup="dialog" aria-controls=":R5o6ipkf6:" popovertarget=":R5o6ipkf6:" style="anchor-name:--popover-R5o6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5o6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5o6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/venkatamekala1">Rajesh M</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/placement-26813258/26813258"><span class="sr-only">Placement</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:16" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Placement" src="https://cdn.slidesharecdn.com/ss_thumbnails/placement-131003012154-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Placement" src="https://cdn.slidesharecdn.com/ss_thumbnails/placement-131003012154-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Placement</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Placement for later" role="switch" aria-haspopup="dialog" aria-controls=":R5omipkf6:" popovertarget=":R5omipkf6:" style="anchor-name:--popover-R5omipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5omipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5omipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/yogeshmusthyala">yogesh kumar</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/placement-and-routing-in-full-custom-physical-design/10579348"><span class="sr-only">Placement and routing in full custom physical design</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:17" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Placement and routing in full custom physical design" src="https://cdn.slidesharecdn.com/ss_thumbnails/prppt-111213115844-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Placement and routing in full custom physical design" src="https://cdn.slidesharecdn.com/ss_thumbnails/prppt-111213115844-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Placement and routing in full custom physical design</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Placement and routing in full custom physical design for later" role="switch" aria-haspopup="dialog" aria-controls=":R5p6ipkf6:" popovertarget=":R5p6ipkf6:" style="anchor-name:--popover-R5p6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5p6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5p6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/deeptishankardas">Deiptii Das</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/asic-design-40306910/40306910"><span class="sr-only">ASIC DESIGN : PLACEMENT</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:18" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="ASIC DESIGN : PLACEMENT" src="https://cdn.slidesharecdn.com/ss_thumbnails/asicppts-141015103057-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="ASIC DESIGN : PLACEMENT" src="https://cdn.slidesharecdn.com/ss_thumbnails/asicppts-141015103057-conversion-gate01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">ASIC DESIGN : PLACEMENT</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save ASIC DESIGN : PLACEMENT for later" role="switch" aria-haspopup="dialog" aria-controls=":R5pmipkf6:" popovertarget=":R5pmipkf6:" style="anchor-name:--popover-R5pmipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5pmipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5pmipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/helloactiva">helloactiva</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/vlsi-physical-design/21131175"><span class="sr-only">Vlsi physical design</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:19" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Vlsi physical design" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsiphysicaldesign-130513191024-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Vlsi physical design" src="https://cdn.slidesharecdn.com/ss_thumbnails/vlsiphysicaldesign-130513191024-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Vlsi physical design</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Vlsi physical design for later" role="switch" aria-haspopup="dialog" aria-controls=":R5q6ipkf6:" popovertarget=":R5q6ipkf6:" style="anchor-name:--popover-R5q6ipkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5q6ipkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5q6ipkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/deepak2392">I World Tech</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div></div><button aria-label="Previous" type="button" class="Slider_arrow__8LCca Slider_prev__YMssa Slider_hidden__rs7nK"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/chevron-left.50b146c0.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><button aria-label="Next" type="button" class="Slider_arrow__8LCca Slider_next__fa9IO"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/chevron-right.9b30ad08.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button></div></div></div></div><div class="bottom-recs BottomRecommendation_root__7aU9w"><h3 class="BottomRecommendation_title__SRj68">Viewers also liked<!-- --> <span class="BottomRecommendation_count__4HpLo">(<!-- -->8<!-- -->)</span></h3><div class="BottomRecommendationContent_root__2qm4_"><div class="mobile-recs"><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-0" aria-haspopup="dialog" aria-controls=":R25j9kf6:" popovertarget=":R25j9kf6:" style="anchor-name:--popover-R25j9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/floorplan-16323225/16323225"><span class="sr-only">Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:0" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)" src="https://cdn.slidesharecdn.com/ss_thumbnails/floorplan-130203075712-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)" src="https://cdn.slidesharecdn.com/ss_thumbnails/floorplan-130203075712-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php) for later" role="switch" aria-haspopup="dialog" aria-controls=":Rmq5j9kf6:" popovertarget=":Rmq5j9kf6:" style="anchor-name:--popover-Rmq5j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":Rmq5j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-Rmq5j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">https://www.udemy.com/vlsi-academy Floorplanning is basically the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip. </span></div></div><div class="" id=":R25j9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R25j9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-1" aria-haspopup="dialog" aria-controls=":R29j9kf6:" popovertarget=":R29j9kf6:" style="anchor-name:--popover-R29j9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/need-of-decap/16883894"><span class="sr-only">Need of Decoupling Capacitor</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:1" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Need of Decoupling Capacitor" src="https://cdn.slidesharecdn.com/ss_thumbnails/needofdecap-130302115609-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Need of Decoupling Capacitor" src="https://cdn.slidesharecdn.com/ss_thumbnails/needofdecap-130302115609-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Need of Decoupling Capacitor</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Need of Decoupling Capacitor for later" role="switch" aria-haspopup="dialog" aria-controls=":Rmq9j9kf6:" popovertarget=":Rmq9j9kf6:" style="anchor-name:--popover-Rmq9j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":Rmq9j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-Rmq9j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">https://www.udemy.com/vlsi-academy http://vlsisystemdesign.com/need_for_decap.php A decoupling capacitor is a capacitor, which is used decouple the critical cells from main power supply, in order to protect the cells from the disturbance occuring in the power distribution lines and source. The purpose of using decoupling capacitors is to deliver current to the gates during switching. Herein, we would peep inside the reasons for the distrubance occuring in the power distribution lines.</span></div></div><div class="" id=":R29j9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R29j9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-2" aria-haspopup="dialog" aria-controls=":R2dj9kf6:" popovertarget=":R2dj9kf6:" style="anchor-name:--popover-R2dj9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/define-location-of-preplaced-cells/16323144"><span class="sr-only">Define location of Preplaced cells(http://www.vlsisystemdesign.com/PD-Flow.php)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:2" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Define location of Preplaced cells(http://www.vlsisystemdesign.com/PD-Flow.php)" src="https://cdn.slidesharecdn.com/ss_thumbnails/definelocpreplacedcells-130203074907-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Define location of Preplaced cells(http://www.vlsisystemdesign.com/PD-Flow.php)" src="https://cdn.slidesharecdn.com/ss_thumbnails/definelocpreplacedcells-130203074907-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Define location of Preplaced cells(http://www.vlsisystemdesign.com/PD-Flow.php)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Define location of Preplaced cells(http://www.vlsisystemdesign.com/PD-Flow.php) for later" role="switch" aria-haspopup="dialog" aria-controls=":Rmqdj9kf6:" popovertarget=":Rmqdj9kf6:" style="anchor-name:--popover-Rmqdj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":Rmqdj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-Rmqdj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">https://www.udemy.com/vlsi-academy During placement and routing, most of the placement tools, place/move logic cells based on floorplan specifications. Some of the important or critical cell's locations has to be pre-defined before actual placement and routing stages. The critical cells are mostly the cells related to clocks, viz. clock buffers, clock mux, etc. and also few other cells such as RAM's, ROM,s etc. Since, these cells are placed in to core before placement and routing stage, they are called 'preplaced cells'. </span></div></div><div class="" id=":R2dj9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R2dj9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-3" aria-haspopup="dialog" aria-controls=":R2hj9kf6:" popovertarget=":R2hj9kf6:" style="anchor-name:--popover-R2hj9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/synthesis-10555152/10555152"><span class="sr-only">Synthesis</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:3" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Synthesis" src="https://cdn.slidesharecdn.com/ss_thumbnails/synthesis-111211215549-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Synthesis" src="https://cdn.slidesharecdn.com/ss_thumbnails/synthesis-111211215549-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Synthesis</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Synthesis for later" role="switch" aria-haspopup="dialog" aria-controls=":Rmqhj9kf6:" popovertarget=":Rmqhj9kf6:" style="anchor-name:--popover-Rmqhj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":Rmqhj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-Rmqhj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/DeepakFloria">Mantra VLSI</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document describes how different Verilog code constructs are synthesized to hardware. It provides examples of how always blocks, variables assignments, if/else statements, case statements, mathematical operations, counters and other code are mapped to logic gates, flip-flops and other digital circuits.</span></div></div><div class="" id=":R2hj9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R2hj9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-4" aria-haspopup="dialog" aria-controls=":R2lj9kf6:" popovertarget=":R2lj9kf6:" style="anchor-name:--popover-R2lj9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/verilog-code/14913105"><span class="sr-only">verilog code</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:4" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="verilog code" src="https://cdn.slidesharecdn.com/ss_thumbnails/verilogcode-121027132700-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="verilog code" src="https://cdn.slidesharecdn.com/ss_thumbnails/verilogcode-121027132700-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">verilog code</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save verilog code for later" role="switch" aria-haspopup="dialog" aria-controls=":Rmqlj9kf6:" popovertarget=":Rmqlj9kf6:" style="anchor-name:--popover-Rmqlj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":Rmqlj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-Rmqlj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/DeepakFloria">Mantra VLSI</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">All the verilog which will cover all the interview question. cover complete knowledge of verilog. cover vlsi question. vlsi Interview question.</span></div></div><div class="" id=":R2lj9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R2lj9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-5" aria-haspopup="dialog" aria-controls=":R2pj9kf6:" popovertarget=":R2pj9kf6:" style="anchor-name:--popover-R2pj9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/number-system-41983310/41983310"><span class="sr-only">Number system</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:5" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Number system" src="https://cdn.slidesharecdn.com/ss_thumbnails/numbersystem-141124230150-conversion-gate02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Number system" src="https://cdn.slidesharecdn.com/ss_thumbnails/numbersystem-141124230150-conversion-gate02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Number system</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Number system for later" role="switch" aria-haspopup="dialog" aria-controls=":Rmqpj9kf6:" popovertarget=":Rmqpj9kf6:" style="anchor-name:--popover-Rmqpj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":Rmqpj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-Rmqpj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/DeepakFloria">Mantra VLSI</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Digital number system, Binary Addition, Complemented number system, 1’s Complement, 2’s Complement, 8421 BCD Code, Gray Code, Excess-3 Code , Boolean Algebra, DeMorgan's Theorem</span></div></div><div class="" id=":R2pj9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R2pj9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-6" aria-haspopup="dialog" aria-controls=":R2tj9kf6:" popovertarget=":R2tj9kf6:" style="anchor-name:--popover-R2tj9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/basic-electronics-15190380/15190380"><span class="sr-only">Basic electronics</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:6" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Basic electronics" src="https://cdn.slidesharecdn.com/ss_thumbnails/basicelectronics-121115073938-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Basic electronics" src="https://cdn.slidesharecdn.com/ss_thumbnails/basicelectronics-121115073938-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Basic electronics</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Basic electronics for later" role="switch" aria-haspopup="dialog" aria-controls=":Rmqtj9kf6:" popovertarget=":Rmqtj9kf6:" style="anchor-name:--popover-Rmqtj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":Rmqtj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-Rmqtj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/DeepakFloria">Mantra VLSI</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">The document summarizes basic digital logic gates and components including NOT, AND, OR, NAND, NOR, XOR, XNOR gates. It also discusses multiplexers, demultiplexers, half/full adders, half/full subtractors, encoders, decoders, and conversions between binary and gray codes.</span></div></div><div class="" id=":R2tj9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R2tj9kf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="alsoLiked-card-description-7" aria-haspopup="dialog" aria-controls=":R31j9kf6:" popovertarget=":R31j9kf6:" style="anchor-name:--popover-R31j9kf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/divide-by-n-clock/10570385"><span class="sr-only">Divide by N clock</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:7" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Divide by N clock" src="https://cdn.slidesharecdn.com/ss_thumbnails/clkdiv-111213000346-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Divide by N clock" src="https://cdn.slidesharecdn.com/ss_thumbnails/clkdiv-111213000346-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Divide by N clock</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Divide by N clock for later" role="switch" aria-haspopup="dialog" aria-controls=":Rmr1j9kf6:" popovertarget=":Rmr1j9kf6:" style="anchor-name:--popover-Rmr1j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":Rmr1j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-Rmr1j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/DeepakFloria">Mantra VLSI</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">this presentation is based to construct different frequency divide by clock with reference to the system clock.</span></div></div><div class="" id=":R31j9kf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R31j9kf6"></div></div><div class="desktop-recs"><div class="Slider_root__c0Jo8"><div class="Slider_scroller__KHjw4"><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/floorplan-16323225/16323225"><span class="sr-only">Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:0" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)" src="https://cdn.slidesharecdn.com/ss_thumbnails/floorplan-130203075712-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)" src="https://cdn.slidesharecdn.com/ss_thumbnails/floorplan-130203075712-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php) for later" role="switch" aria-haspopup="dialog" aria-controls=":R2omj9kf6:" popovertarget=":R2omj9kf6:" style="anchor-name:--popover-R2omj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R2omj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R2omj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/need-of-decap/16883894"><span class="sr-only">Need of Decoupling Capacitor</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:1" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Need of Decoupling Capacitor" src="https://cdn.slidesharecdn.com/ss_thumbnails/needofdecap-130302115609-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Need of Decoupling Capacitor" src="https://cdn.slidesharecdn.com/ss_thumbnails/needofdecap-130302115609-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Need of Decoupling Capacitor</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Need of Decoupling Capacitor for later" role="switch" aria-haspopup="dialog" aria-controls=":R2p6j9kf6:" popovertarget=":R2p6j9kf6:" style="anchor-name:--popover-R2p6j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R2p6j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R2p6j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/define-location-of-preplaced-cells/16323144"><span class="sr-only">Define location of Preplaced cells(http://www.vlsisystemdesign.com/PD-Flow.php)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:2" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Define location of Preplaced cells(http://www.vlsisystemdesign.com/PD-Flow.php)" src="https://cdn.slidesharecdn.com/ss_thumbnails/definelocpreplacedcells-130203074907-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Define location of Preplaced cells(http://www.vlsisystemdesign.com/PD-Flow.php)" src="https://cdn.slidesharecdn.com/ss_thumbnails/definelocpreplacedcells-130203074907-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Define location of Preplaced cells(http://www.vlsisystemdesign.com/PD-Flow.php)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Define location of Preplaced cells(http://www.vlsisystemdesign.com/PD-Flow.php) for later" role="switch" aria-haspopup="dialog" aria-controls=":R2pmj9kf6:" popovertarget=":R2pmj9kf6:" style="anchor-name:--popover-R2pmj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R2pmj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R2pmj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/vlsisyst">VLSI SYSTEM Design</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/synthesis-10555152/10555152"><span class="sr-only">Synthesis</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:3" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Synthesis" src="https://cdn.slidesharecdn.com/ss_thumbnails/synthesis-111211215549-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Synthesis" src="https://cdn.slidesharecdn.com/ss_thumbnails/synthesis-111211215549-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Synthesis</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Synthesis for later" role="switch" aria-haspopup="dialog" aria-controls=":R2q6j9kf6:" popovertarget=":R2q6j9kf6:" style="anchor-name:--popover-R2q6j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R2q6j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R2q6j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/DeepakFloria">Mantra VLSI</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/verilog-code/14913105"><span class="sr-only">verilog code</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:4" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="verilog code" src="https://cdn.slidesharecdn.com/ss_thumbnails/verilogcode-121027132700-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="verilog code" src="https://cdn.slidesharecdn.com/ss_thumbnails/verilogcode-121027132700-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">verilog code</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save verilog code for later" role="switch" aria-haspopup="dialog" aria-controls=":R2qmj9kf6:" popovertarget=":R2qmj9kf6:" style="anchor-name:--popover-R2qmj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R2qmj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R2qmj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/DeepakFloria">Mantra VLSI</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/number-system-41983310/41983310"><span class="sr-only">Number system</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:5" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Number system" src="https://cdn.slidesharecdn.com/ss_thumbnails/numbersystem-141124230150-conversion-gate02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Number system" src="https://cdn.slidesharecdn.com/ss_thumbnails/numbersystem-141124230150-conversion-gate02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Number system</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Number system for later" role="switch" aria-haspopup="dialog" aria-controls=":R2r6j9kf6:" popovertarget=":R2r6j9kf6:" style="anchor-name:--popover-R2r6j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R2r6j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R2r6j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/DeepakFloria">Mantra VLSI</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/basic-electronics-15190380/15190380"><span class="sr-only">Basic electronics</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:6" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Basic electronics" src="https://cdn.slidesharecdn.com/ss_thumbnails/basicelectronics-121115073938-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Basic electronics" src="https://cdn.slidesharecdn.com/ss_thumbnails/basicelectronics-121115073938-phpapp02-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Basic electronics</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Basic electronics for later" role="switch" aria-haspopup="dialog" aria-controls=":R2rmj9kf6:" popovertarget=":R2rmj9kf6:" style="anchor-name:--popover-R2rmj9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R2rmj9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R2rmj9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/DeepakFloria">Mantra VLSI</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/divide-by-n-clock/10570385"><span class="sr-only">Divide by N clock</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:7" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Divide by N clock" src="https://cdn.slidesharecdn.com/ss_thumbnails/clkdiv-111213000346-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Divide by N clock" src="https://cdn.slidesharecdn.com/ss_thumbnails/clkdiv-111213000346-phpapp01-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Divide by N clock</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Divide by N clock for later" role="switch" aria-haspopup="dialog" aria-controls=":R2s6j9kf6:" popovertarget=":R2s6j9kf6:" style="anchor-name:--popover-R2s6j9kf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R2s6j9kf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R2s6j9kf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/DeepakFloria">Mantra VLSI</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div></div><button aria-label="Previous" type="button" class="Slider_arrow__8LCca Slider_prev__YMssa Slider_hidden__rs7nK"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/chevron-left.50b146c0.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><button aria-label="Next" type="button" class="Slider_arrow__8LCca Slider_next__fa9IO"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/chevron-right.9b30ad08.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button></div></div></div></div><div id="between-recs-ad-1-container" class="freestar-ad-container FreestarAdContainer_root__qPPC_" style="--fallback-aspect-ratio:undefined / undefined" data-testid="freestar-ad-container"><div><div class="" id="between-recs-ad-1"></div></div></div><div class="bottom-recs BottomRecommendation_root__7aU9w"><h3 class="BottomRecommendation_title__SRj68">Recently uploaded<!-- --> <span class="BottomRecommendation_count__4HpLo">(<!-- -->20<!-- -->)</span></h3><div class="BottomRecommendationContent_root__2qm4_"><div class="mobile-recs"><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-0" aria-haspopup="dialog" aria-controls=":R45jpkf6:" popovertarget=":R45jpkf6:" style="anchor-name:--popover-R45jpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/uidp-7rs-and-ai-20250319-v4-digital-twinspptx/276931322"><span class="sr-only">UIDP 7Rs and AI 20250319 v4. digital twinspptx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:0" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="UIDP 7Rs and AI 20250319 v4. digital twinspptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/uidp7rsandai20250319v4-250319162633-eb0351c7-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="UIDP 7Rs and AI 20250319 v4. digital twinspptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/uidp7rsandai20250319v4-250319162633-eb0351c7-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">UIDP 7Rs and AI 20250319 v4. digital twinspptx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save UIDP 7Rs and AI 20250319 v4. digital twinspptx for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dk5jpkf6:" popovertarget=":R1dk5jpkf6:" style="anchor-name:--popover-R1dk5jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dk5jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dk5jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/spohrer">home</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">UIDP https://uidp.org Event https://web.cvent.com/event/c692351f-9b68-4fa0-a204-c8e5bf54adb2/summary 20250319 7Rs and AI Jim Twin V1 (English video) - https://youtu.be/T4S0uZp1SHw Jim Twin V1 (French video) - https://youtu.be/02hCGRJnCoc Jim Twin OpenSource – https://youtu.be/mwnZjTNegXE Jim Twin Tmpt.me Platform – https://tmpt.app/@jimtwin Jim Blog Post - https://service-science.info/archives/6612 Jim EIT Article (Real Jim) - https://www.eitdigital.eu/newsroom/grow-digital-insights/personal-ai-digital-twins-the-future-of-human-interaction/ Jim EIT Talk (Real Jim) - https://youtu.be/_1X6bRfOqc4 Reid Hoffman (English video) - https://youtu.be/rgD2gmwCS10 John Wolpert wrote: Hey, if you want to work with me on making your twin Twinzie-style, let me know. I'm implementing Twinzie (trained AIs that can interact with each other, discover win/win opportunities without disclosing the session directly to the respective users) in Valuable -- buyer/seller negotiation without exposing the seller's item before a mutually agreeable price can be proposed. Also starting to get traction for same model with the consulting firm I work with, where they have Twinzies of each of the participants of an experience do private 1-1 sessions to create their own Twinzie, and when group sessions are conducted, ideas in the session can get a score on whether they truly resonate with the participants' gestalt intentions or whether the idea might do violence to someone's intention...without revealing those intentions or outing people. Even in a session with three or four people, the live scoring doesn't provide any real sense of who might not like the idea. It just gives the room a sense of true alignment or a sense that something hidden might need to be addressed. </span></div></div><div class="" id=":R45jpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R45jpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-1" aria-haspopup="dialog" aria-controls=":R49jpkf6:" popovertarget=":R49jpkf6:" style="anchor-name:--popover-R49jpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/fuel-injection-in-spark-ignition-engine-petrol-engine/277011895"><span class="sr-only">Fuel Injection in Spark Ignition Engine (Petrol Engine)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:1" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Fuel Injection in Spark Ignition Engine (Petrol Engine)" src="https://cdn.slidesharecdn.com/ss_thumbnails/ch2fuelinjectioninsi-250321101013-2a1c561a-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Fuel Injection in Spark Ignition Engine (Petrol Engine)" src="https://cdn.slidesharecdn.com/ss_thumbnails/ch2fuelinjectioninsi-250321101013-2a1c561a-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Fuel Injection in Spark Ignition Engine (Petrol Engine)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Fuel Injection in Spark Ignition Engine (Petrol Engine) for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dk9jpkf6:" popovertarget=":R1dk9jpkf6:" style="anchor-name:--popover-R1dk9jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dk9jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dk9jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/NileshKumbhar21">NileshKumbhar21</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Engine fuel requirements, Simple carburetor, Complete Carburetor , Solex carburettor, A/F ratio, Electronic Petrol injection system (MPFI) like DMPFI, LMPFI– components such as sensors, ECU etc.</span></div></div><div class="" id=":R49jpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R49jpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-2" aria-haspopup="dialog" aria-controls=":R4djpkf6:" popovertarget=":R4djpkf6:" style="anchor-name:--popover-R4djpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/utilization-of-the-hague-system-in-light-of-the-expansion-of-global-economic-activities/276874166"><span class="sr-only">Utilization of the Hague System in light of the Expansion of Global Economic...</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:2" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Utilization of the Hague System in light of the Expansion of Global Economic..." src="https://cdn.slidesharecdn.com/ss_thumbnails/s04-250318141802-cc9c2e9a-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Utilization of the Hague System in light of the Expansion of Global Economic..." src="https://cdn.slidesharecdn.com/ss_thumbnails/s04-250318141802-cc9c2e9a-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Utilization of the Hague System in light of the Expansion of Global Economic...</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Utilization of the Hague System in light of the Expansion of Global Economic... for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkdjpkf6:" popovertarget=":R1dkdjpkf6:" style="anchor-name:--popover-R1dkdjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkdjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkdjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/MIPLM">MIPLM</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Utilization of the Hague System in light of the Expansion of Global Economic Activities </span></div></div><div class="" id=":R4djpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4djpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-3" aria-haspopup="dialog" aria-controls=":R4hjpkf6:" popovertarget=":R4hjpkf6:" style="anchor-name:--popover-R4hjpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/unit-1-dvwa-damn-vulnerable-web-application-docx/276856826"><span class="sr-only">Unit 1 DVWA (Damn Vulnerable Web Application).docx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:3" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Unit 1 DVWA (Damn Vulnerable Web Application).docx" src="https://cdn.slidesharecdn.com/ss_thumbnails/unit1dvwadamnvulnerablewebapplication-250318065911-b8df7c0c-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Unit 1 DVWA (Damn Vulnerable Web Application).docx" src="https://cdn.slidesharecdn.com/ss_thumbnails/unit1dvwadamnvulnerablewebapplication-250318065911-b8df7c0c-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Unit 1 DVWA (Damn Vulnerable Web Application).docx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Unit 1 DVWA (Damn Vulnerable Web Application).docx for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkhjpkf6:" popovertarget=":R1dkhjpkf6:" style="anchor-name:--popover-R1dkhjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkhjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkhjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ChatanBawankar">ChatanBawankar</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Damn Vulnerable Web Application (DVWA) is a deliberately insecure web application designed for security professionals, developers, and students to practice and learn web security vulnerabilities. It provides a safe environment to test and understand common web application security issues, such as SQL injection, XSS, CSRF, command execution, and more. DVWA has different security levels (low, medium, high, and impossible) to help users improve their ethical hacking and penetration testing skills. It is commonly used for security training and research purposes.</span></div></div><div class="" id=":R4hjpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4hjpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-4" aria-haspopup="dialog" aria-controls=":R4ljpkf6:" popovertarget=":R4ljpkf6:" style="anchor-name:--popover-R4ljpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/requirement-engineering-and-software-requirement-specification/276807118"><span class="sr-only">Requirement Engineering and Software Requirement Specification</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:4" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Requirement Engineering and Software Requirement Specification" src="https://cdn.slidesharecdn.com/ss_thumbnails/unitirequirmentengg-250317101650-d4e16ce8-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Requirement Engineering and Software Requirement Specification" src="https://cdn.slidesharecdn.com/ss_thumbnails/unitirequirmentengg-250317101650-d4e16ce8-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Requirement Engineering and Software Requirement Specification</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Requirement Engineering and Software Requirement Specification for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkljpkf6:" popovertarget=":R1dkljpkf6:" style="anchor-name:--popover-R1dkljpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkljpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkljpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/NitinShelake4">NitinShelake4</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Requirements Capturing : Requirements Capturing,Prioritizing Requirements (Kano Diagram) Requirements Engineering Tasks, Functional Modeling & Information Flow (DFD, CFD).</span></div></div><div class="" id=":R4ljpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4ljpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-5" aria-haspopup="dialog" aria-controls=":R4pjpkf6:" popovertarget=":R4pjpkf6:" style="anchor-name:--popover-R4pjpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/play-types-value-and-selection-of-play-material-play-therapy-pptx/276796459"><span class="sr-only">PLAY: Types, value and selection of play material PLAY THERAPY.pptx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:5" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="PLAY: Types, value and selection of play material PLAY THERAPY.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/playplaytherapy-250317054635-194dbf0e-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="PLAY: Types, value and selection of play material PLAY THERAPY.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/playplaytherapy-250317054635-194dbf0e-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">PLAY: Types, value and selection of play material PLAY THERAPY.pptx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save PLAY: Types, value and selection of play material PLAY THERAPY.pptx for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dkpjpkf6:" popovertarget=":R1dkpjpkf6:" style="anchor-name:--popover-R1dkpjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dkpjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dkpjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/pradeepabothu1">PRADEEP ABOTHU</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Play: Play is a fun and natural activity that children do on their own for enjoyment. It helps them grow and learn. Even adults can benefit from play in different ways. Play Therapy: Play therapy is a form of psychotherapy that helps children express their emotions, thoughts, and experiences through play. It is used to support their emotional and psychological well-being.</span></div></div><div class="" id=":R4pjpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4pjpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-6" aria-haspopup="dialog" aria-controls=":R4tjpkf6:" popovertarget=":R4tjpkf6:" style="anchor-name:--popover-R4tjpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/pubh1000-module-5-priority-populations/276963162"><span class="sr-only">PUBH1000 - Module 5: Priority Populations</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:6" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="PUBH1000 - Module 5: Priority Populations" src="https://cdn.slidesharecdn.com/ss_thumbnails/m5tutes12025-250320082050-e526ce46-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="PUBH1000 - Module 5: Priority Populations" src="https://cdn.slidesharecdn.com/ss_thumbnails/m5tutes12025-250320082050-e526ce46-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">PUBH1000 - Module 5: Priority Populations</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save PUBH1000 - Module 5: Priority Populations for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dktjpkf6:" popovertarget=":R1dktjpkf6:" style="anchor-name:--popover-R1dktjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dktjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dktjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/JonathanHallett4">JonathanHallett4</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">.</span></div></div><div class="" id=":R4tjpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R4tjpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-7" aria-haspopup="dialog" aria-controls=":R51jpkf6:" popovertarget=":R51jpkf6:" style="anchor-name:--popover-R51jpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/agile-development-and-scrum-extreme-programming/276807211"><span class="sr-only">Agile Development and Scrum, Extreme Programming</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:7" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Agile Development and Scrum, Extreme Programming" src="https://cdn.slidesharecdn.com/ss_thumbnails/agiledevelopmentnew-250317101919-7564d8ba-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Agile Development and Scrum, Extreme Programming" src="https://cdn.slidesharecdn.com/ss_thumbnails/agiledevelopmentnew-250317101919-7564d8ba-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Agile Development and Scrum, Extreme Programming</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Agile Development and Scrum, Extreme Programming for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dl1jpkf6:" popovertarget=":R1dl1jpkf6:" style="anchor-name:--popover-R1dl1jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dl1jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dl1jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/NitinShelake4">NitinShelake4</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Agile Development: Agile manifesto, agility and cost of change, agility principles, myth of planned development. Extreme Programming: XP values, process, industrial XP. SCRUM: process flow, scrum roles, scrum cycle description, product backlog, sprint planning meeting, sprint backlog, sprint execution, daily scrum meeting, maintaining sprint backlog and burn-down chart, sprint review and retrospective.</span></div></div><div class="" id=":R51jpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R51jpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-8" aria-haspopup="dialog" aria-controls=":R55jpkf6:" popovertarget=":R55jpkf6:" style="anchor-name:--popover-R55jpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/new-widget-to-record-invoice-line-description-odoo-18/276909198"><span class="sr-only">New Widget to Record Invoice Line Description Odoo 18</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:8" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="New Widget to Record Invoice Line Description Odoo 18" src="https://cdn.slidesharecdn.com/ss_thumbnails/newwidgettorecordinvoicelinedescriptionodoo18-250319060824-38dd6db6-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="New Widget to Record Invoice Line Description Odoo 18" src="https://cdn.slidesharecdn.com/ss_thumbnails/newwidgettorecordinvoicelinedescriptionodoo18-250319060824-38dd6db6-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">New Widget to Record Invoice Line Description Odoo 18</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save New Widget to Record Invoice Line Description Odoo 18 for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dl5jpkf6:" popovertarget=":R1dl5jpkf6:" style="anchor-name:--popover-R1dl5jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dl5jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dl5jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/CelineGeorge1">Celine George</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">As we know, Odoo launches every new version with a wide variety of changes both functional and technical so far. Likewise, in Odoo 18 also, there are a lot of new features which can fulfill the needs of the users adequately. In this slide, we are going to discuss a new feature added to Odoo 18’s Invoice line description.</span></div></div><div class="" id=":R55jpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R55jpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-9" aria-haspopup="dialog" aria-controls=":R59jpkf6:" popovertarget=":R59jpkf6:" style="anchor-name:--popover-R59jpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/fuel-injection-in-compression-ignition-engine/277011896"><span class="sr-only">Fuel Injection in Compression Ignition Engine</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:9" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Fuel Injection in Compression Ignition Engine" src="https://cdn.slidesharecdn.com/ss_thumbnails/ch2fuelinjectioninci-250321101013-dac56607-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Fuel Injection in Compression Ignition Engine" src="https://cdn.slidesharecdn.com/ss_thumbnails/ch2fuelinjectioninci-250321101013-dac56607-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Fuel Injection in Compression Ignition Engine</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Fuel Injection in Compression Ignition Engine for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dl9jpkf6:" popovertarget=":R1dl9jpkf6:" style="anchor-name:--popover-R1dl9jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dl9jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dl9jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/NileshKumbhar21">NileshKumbhar21</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Requirements of injection system, Types of injection systems – Individual pump, Common rail and Distributor systems, Unit injector, Types of fuel nozzles- single hole, multi hole, pintle, and pintaux, Electronic diesel injection system.</span></div></div><div class="" id=":R59jpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R59jpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-10" aria-haspopup="dialog" aria-controls=":R5djpkf6:" popovertarget=":R5djpkf6:" style="anchor-name:--popover-R5djpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/unit-3_digital-certificate_intro_types-pdf/277053761"><span class="sr-only">Unit 3_Digital Certificate_Intro_Types.pdf</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:10" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Unit 3_Digital Certificate_Intro_Types.pdf" src="https://cdn.slidesharecdn.com/ss_thumbnails/unit3digitalcertificateshared-250322160623-d897ecd3-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Unit 3_Digital Certificate_Intro_Types.pdf" src="https://cdn.slidesharecdn.com/ss_thumbnails/unit3digitalcertificateshared-250322160623-d897ecd3-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Unit 3_Digital Certificate_Intro_Types.pdf</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Unit 3_Digital Certificate_Intro_Types.pdf for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dldjpkf6:" popovertarget=":R1dldjpkf6:" style="anchor-name:--popover-R1dldjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dldjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dldjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/KanchanPatil34">KanchanPatil34</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Introduction to Digital Certificates, Certification Authority, Contents of Digital Certificate, Digital Certificate Versions, Certificate Types</span></div></div><div class="" id=":R5djpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5djpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-11" aria-haspopup="dialog" aria-controls=":R5hjpkf6:" popovertarget=":R5hjpkf6:" style="anchor-name:--popover-R5hjpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/bba-204-unit-2-mm-dr-kanchan-kumari-pptx/276853745"><span class="sr-only">BBA 204 UNIT 2 MM Dr Kanchan Kumari.pptx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:11" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="BBA 204 UNIT 2 MM Dr Kanchan Kumari.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/bba204unit2mmdrkanchankumari-250318054226-e05202b9-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="BBA 204 UNIT 2 MM Dr Kanchan Kumari.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/bba204unit2mmdrkanchankumari-250318054226-e05202b9-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">BBA 204 UNIT 2 MM Dr Kanchan Kumari.pptx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save BBA 204 UNIT 2 MM Dr Kanchan Kumari.pptx for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dlhjpkf6:" popovertarget=":R1dlhjpkf6:" style="anchor-name:--popover-R1dlhjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dlhjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dlhjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ProfKanchankumariMar">Dr. Kanchan Kumari</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Study Material for Management Student</span></div></div><div class="" id=":R5hjpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5hjpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-12" aria-haspopup="dialog" aria-controls=":R5ljpkf6:" popovertarget=":R5ljpkf6:" style="anchor-name:--popover-R5ljpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/opportunity-culture-models-atr-legislation-cc9b/276982172"><span class="sr-only">Opportunity Culture Models & ATR Legislation</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:12" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Opportunity Culture Models & ATR Legislation" src="https://cdn.slidesharecdn.com/ss_thumbnails/howocmodelsalignwithatrlegislationrequirements-32025-250320170438-eb492f46-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Opportunity Culture Models & ATR Legislation" src="https://cdn.slidesharecdn.com/ss_thumbnails/howocmodelsalignwithatrlegislationrequirements-32025-250320170438-eb492f46-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Opportunity Culture Models & ATR Legislation</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Opportunity Culture Models & ATR Legislation for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dlljpkf6:" popovertarget=":R1dlljpkf6:" style="anchor-name:--popover-R1dlljpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dlljpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dlljpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/educationnc">EducationNC</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">This table from Public Impact and The Innovation Project shows how models from Public Impact, called Opportunity Culture models, align with North Carolina's Advanced Teaching Roles (ATR) legislative requirements. </span></div></div><div class="" id=":R5ljpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5ljpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-13" aria-haspopup="dialog" aria-controls=":R5pjpkf6:" popovertarget=":R5pjpkf6:" style="anchor-name:--popover-R5pjpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/design-policy-and-strategy-in-the-expansion-of-global-economic-initiatives/276875445"><span class="sr-only">Design Policy and Strategy in the Expansion of Global Economic Initiatives</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:13" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Design Policy and Strategy in the Expansion of Global Economic Initiatives" src="https://cdn.slidesharecdn.com/ss_thumbnails/s03-250318145221-957eb6ec-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Design Policy and Strategy in the Expansion of Global Economic Initiatives" src="https://cdn.slidesharecdn.com/ss_thumbnails/s03-250318145221-957eb6ec-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Design Policy and Strategy in the Expansion of Global Economic Initiatives</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Design Policy and Strategy in the Expansion of Global Economic Initiatives for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dlpjpkf6:" popovertarget=":R1dlpjpkf6:" style="anchor-name:--popover-R1dlpjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dlpjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dlpjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/MIPLM">MIPLM</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Design Policy and Strategy in the Expansion of Global Economic Initiatives</span></div></div><div class="" id=":R5pjpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5pjpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-14" aria-haspopup="dialog" aria-controls=":R5tjpkf6:" popovertarget=":R5tjpkf6:" style="anchor-name:--popover-R5tjpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/how-to-manage-your-company-budget-using-odoo-17-accounting/276957212"><span class="sr-only">How to Manage Your Company Budget Using Odoo 17 Accounting</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:14" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="How to Manage Your Company Budget Using Odoo 17 Accounting" src="https://cdn.slidesharecdn.com/ss_thumbnails/howtomanageyourcompanybudgetusingodoo17accounting-250320053145-365d8d27-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="How to Manage Your Company Budget Using Odoo 17 Accounting" src="https://cdn.slidesharecdn.com/ss_thumbnails/howtomanageyourcompanybudgetusingodoo17accounting-250320053145-365d8d27-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">How to Manage Your Company Budget Using Odoo 17 Accounting</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save How to Manage Your Company Budget Using Odoo 17 Accounting for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dltjpkf6:" popovertarget=":R1dltjpkf6:" style="anchor-name:--popover-R1dltjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dltjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dltjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/CelineGeorge1">Celine George</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Effective budget management is vital for a company's success. Odoo 17 streamlines financial management with tools for transactions, invoicing, and budgeting. Set up budgets for departments, projects, or cost centers, define goals, allocate resources, and set spending limits. </span></div></div><div class="" id=":R5tjpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R5tjpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-15" aria-haspopup="dialog" aria-controls=":R61jpkf6:" popovertarget=":R61jpkf6:" style="anchor-name:--popover-R61jpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/determiners-of-quantity-and-count-and-noncount-nouns-pptx/276894504"><span class="sr-only">Determiners of Quantity and Count and Noncount Nouns.pptx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:15" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Determiners of Quantity and Count and Noncount Nouns.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/determinersofquantity-250318234844-d3db3120-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Determiners of Quantity and Count and Noncount Nouns.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/determinersofquantity-250318234844-d3db3120-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Determiners of Quantity and Count and Noncount Nouns.pptx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Determiners of Quantity and Count and Noncount Nouns.pptx for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dm1jpkf6:" popovertarget=":R1dm1jpkf6:" style="anchor-name:--popover-R1dm1jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dm1jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dm1jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/NetziValdelomar">Netzi Valdelomar Miranda</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Count and Noncount Nouns, Determiners of Quantity</span></div></div><div class="" id=":R61jpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R61jpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-16" aria-haspopup="dialog" aria-controls=":R65jpkf6:" popovertarget=":R65jpkf6:" style="anchor-name:--popover-R65jpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/recent-advances-in-insulin-therapy-a-comprehensive-overview/277041072"><span class="sr-only">Recent advances in Insulin therapy: A comprehensive overview</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:16" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Recent advances in Insulin therapy: A comprehensive overview" src="https://cdn.slidesharecdn.com/ss_thumbnails/recentadvancesininsulintherapy-250322071648-30ef759d-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Recent advances in Insulin therapy: A comprehensive overview" src="https://cdn.slidesharecdn.com/ss_thumbnails/recentadvancesininsulintherapy-250322071648-30ef759d-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Recent advances in Insulin therapy: A comprehensive overview</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Recent advances in Insulin therapy: A comprehensive overview for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dm5jpkf6:" popovertarget=":R1dm5jpkf6:" style="anchor-name:--popover-R1dm5jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dm5jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dm5jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/doc21vignesh">Dr C Vignesh </a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Recent Advances in Insulin Therapy: A Comprehensive Overview This presentation provides an in-depth overview of insulin therapy, covering its historical evolution, structure, mechanism of action, and recent advances. The session is designed to enhance understanding of insulin's role in diabetes management and the latest innovations in its therapeutic application. Key Highlights: ✅ Pancreatic Anatomy & Physiology – Understanding the endocrine function of the pancreas and insulin secretion mechanisms. ✅ Insulin Structure & Mechanism of Action – Exploring the biochemical structure, receptor interactions, and physiological regulation of insulin. ✅ Diabetes Mellitus (DM)– Definition, classification, diagnostic criteria, and complications associated with diabetes. ✅ Timeline of Insulin Development– A historical perspective on the discovery and evolution of insulin therapy. ✅ Recent Advances in Insulin Therapy – Discussion on novel insulin analogues (ultra-rapid, basal, and glucose-responsive insulins) and innovative insulin delivery systems (smart pens, closed-loop pumps, oral and inhaled insulins). This presentation is useful for medical students, healthcare professionals, and researchers interested in advancements in diabetes management. Keywords: Insulin therapy, insulin analogues, diabetes management, insulin delivery systems, diabetes mellitus, recent advances </span></div></div><div class="" id=":R65jpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R65jpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-17" aria-haspopup="dialog" aria-controls=":R69jpkf6:" popovertarget=":R69jpkf6:" style="anchor-name:--popover-R69jpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/unit-3_private-key-management_protection-pdf/277053952"><span class="sr-only">Unit 3_Private Key Management_Protection.pdf</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:17" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Unit 3_Private Key Management_Protection.pdf" src="https://cdn.slidesharecdn.com/ss_thumbnails/unit3privatekeymanagement-250322161238-00b97aa6-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Unit 3_Private Key Management_Protection.pdf" src="https://cdn.slidesharecdn.com/ss_thumbnails/unit3privatekeymanagement-250322161238-00b97aa6-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Unit 3_Private Key Management_Protection.pdf</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Unit 3_Private Key Management_Protection.pdf for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dm9jpkf6:" popovertarget=":R1dm9jpkf6:" style="anchor-name:--popover-R1dm9jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dm9jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dm9jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/KanchanPatil34">KanchanPatil34</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Private Key Management, Guidelines, Key Updates, Mechanism to Protect Key</span></div></div><div class="" id=":R69jpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R69jpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-18" aria-haspopup="dialog" aria-controls=":R6djpkf6:" popovertarget=":R6djpkf6:" style="anchor-name:--popover-R6djpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/how-to-simplify-reconciliation-process-using-reconciliation-models-using-odoo-accounting/276909589"><span class="sr-only">How to Simplify Reconciliation Process using Reconciliation Models using odoo...</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:18" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="How to Simplify Reconciliation Process using Reconciliation Models using odoo..." src="https://cdn.slidesharecdn.com/ss_thumbnails/howtosimplifyreconciliationprocessusingreconciliationmodelsusingodooaccounting-250319062000-76d6099a-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="How to Simplify Reconciliation Process using Reconciliation Models using odoo..." src="https://cdn.slidesharecdn.com/ss_thumbnails/howtosimplifyreconciliationprocessusingreconciliationmodelsusingodooaccounting-250319062000-76d6099a-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">How to Simplify Reconciliation Process using Reconciliation Models using odoo...</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save How to Simplify Reconciliation Process using Reconciliation Models using odoo... for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dmdjpkf6:" popovertarget=":R1dmdjpkf6:" style="anchor-name:--popover-R1dmdjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dmdjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dmdjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/CelineGeorge1">Celine George</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">In a firm, daily transactions are invoiced, but the accounting department may not enter all details immediately. Weekly, account statements are reviewed for reconciliation, ensuring accuracy and transparency by comparing cash transactions with bank records.</span></div></div><div class="" id=":R6djpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R6djpkf6"></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="RailCard_root__rZUGY RailCard_hasDescription__MjSgf SlideshowCard_root__pD8t4 slideshow-card" aria-describedby="latest-card-description-19" aria-haspopup="dialog" aria-controls=":R6hjpkf6:" popovertarget=":R6hjpkf6:" style="anchor-name:--popover-R6hjpkf6"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/neurodivergent-friendly-schools-beyond-inclusion-towards-belonging/276816182"><span class="sr-only">Neurodivergent Friendly Schools: Beyond Inclusion, Towards Belonging</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:1.5;--index:19" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Neurodivergent Friendly Schools: Beyond Inclusion, Towards Belonging" src="https://cdn.slidesharecdn.com/ss_thumbnails/neurodivergentfriendlyschool-250317135024-9a07bbb5-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Neurodivergent Friendly Schools: Beyond Inclusion, Towards Belonging" src="https://cdn.slidesharecdn.com/ss_thumbnails/neurodivergentfriendlyschool-250317135024-9a07bbb5-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW RailCard_title__Tvfiv ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Neurodivergent Friendly Schools: Beyond Inclusion, Towards Belonging</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Neurodivergent Friendly Schools: Beyond Inclusion, Towards Belonging for later" role="switch" aria-haspopup="dialog" aria-controls=":R1dmhjpkf6:" popovertarget=":R1dmhjpkf6:" style="anchor-name:--popover-R1dmhjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R1dmhjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R1dmhjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ RailCard_author__JYeYZ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/pookyh">Pooky Knightsmith</a><div class="slideshow-stats SlideshowStats_root__EQOR1 RailCard_stats__ZvZms"> </div><span aria-hidden="true" class="seo-only">Slides from a keynote talk -- For more information about my speaking and training work, visit: https://www.pookyknightsmith.com/speaking/</span></div></div><div class="" id=":R6hjpkf6:" popover="manual" data-popover-position="bottom-start" style="position-anchor:--popover-R6hjpkf6"></div></div><div class="desktop-recs"><div class="Slider_root__c0Jo8"><div class="Slider_scroller__KHjw4"><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/uidp-7rs-and-ai-20250319-v4-digital-twinspptx/276931322"><span class="sr-only">UIDP 7Rs and AI 20250319 v4. digital twinspptx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:0" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="UIDP 7Rs and AI 20250319 v4. digital twinspptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/uidp7rsandai20250319v4-250319162633-eb0351c7-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="UIDP 7Rs and AI 20250319 v4. digital twinspptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/uidp7rsandai20250319v4-250319162633-eb0351c7-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">UIDP 7Rs and AI 20250319 v4. digital twinspptx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save UIDP 7Rs and AI 20250319 v4. digital twinspptx for later" role="switch" aria-haspopup="dialog" aria-controls=":R5gmjpkf6:" popovertarget=":R5gmjpkf6:" style="anchor-name:--popover-R5gmjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5gmjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5gmjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/spohrer">home</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/fuel-injection-in-spark-ignition-engine-petrol-engine/277011895"><span class="sr-only">Fuel Injection in Spark Ignition Engine (Petrol Engine)</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:1" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Fuel Injection in Spark Ignition Engine (Petrol Engine)" src="https://cdn.slidesharecdn.com/ss_thumbnails/ch2fuelinjectioninsi-250321101013-2a1c561a-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Fuel Injection in Spark Ignition Engine (Petrol Engine)" src="https://cdn.slidesharecdn.com/ss_thumbnails/ch2fuelinjectioninsi-250321101013-2a1c561a-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Fuel Injection in Spark Ignition Engine (Petrol Engine)</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Fuel Injection in Spark Ignition Engine (Petrol Engine) for later" role="switch" aria-haspopup="dialog" aria-controls=":R5h6jpkf6:" popovertarget=":R5h6jpkf6:" style="anchor-name:--popover-R5h6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5h6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5h6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/NileshKumbhar21">NileshKumbhar21</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/utilization-of-the-hague-system-in-light-of-the-expansion-of-global-economic-activities/276874166"><span class="sr-only">Utilization of the Hague System in light of the Expansion of Global Economic...</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:2" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Utilization of the Hague System in light of the Expansion of Global Economic..." src="https://cdn.slidesharecdn.com/ss_thumbnails/s04-250318141802-cc9c2e9a-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Utilization of the Hague System in light of the Expansion of Global Economic..." src="https://cdn.slidesharecdn.com/ss_thumbnails/s04-250318141802-cc9c2e9a-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Utilization of the Hague System in light of the Expansion of Global Economic...</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Utilization of the Hague System in light of the Expansion of Global Economic... for later" role="switch" aria-haspopup="dialog" aria-controls=":R5hmjpkf6:" popovertarget=":R5hmjpkf6:" style="anchor-name:--popover-R5hmjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5hmjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5hmjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/MIPLM">MIPLM</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/unit-1-dvwa-damn-vulnerable-web-application-docx/276856826"><span class="sr-only">Unit 1 DVWA (Damn Vulnerable Web Application).docx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:3" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Unit 1 DVWA (Damn Vulnerable Web Application).docx" src="https://cdn.slidesharecdn.com/ss_thumbnails/unit1dvwadamnvulnerablewebapplication-250318065911-b8df7c0c-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Unit 1 DVWA (Damn Vulnerable Web Application).docx" src="https://cdn.slidesharecdn.com/ss_thumbnails/unit1dvwadamnvulnerablewebapplication-250318065911-b8df7c0c-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Unit 1 DVWA (Damn Vulnerable Web Application).docx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Unit 1 DVWA (Damn Vulnerable Web Application).docx for later" role="switch" aria-haspopup="dialog" aria-controls=":R5i6jpkf6:" popovertarget=":R5i6jpkf6:" style="anchor-name:--popover-R5i6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5i6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5i6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ChatanBawankar">ChatanBawankar</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/requirement-engineering-and-software-requirement-specification/276807118"><span class="sr-only">Requirement Engineering and Software Requirement Specification</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:4" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Requirement Engineering and Software Requirement Specification" src="https://cdn.slidesharecdn.com/ss_thumbnails/unitirequirmentengg-250317101650-d4e16ce8-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Requirement Engineering and Software Requirement Specification" src="https://cdn.slidesharecdn.com/ss_thumbnails/unitirequirmentengg-250317101650-d4e16ce8-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Requirement Engineering and Software Requirement Specification</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Requirement Engineering and Software Requirement Specification for later" role="switch" aria-haspopup="dialog" aria-controls=":R5imjpkf6:" popovertarget=":R5imjpkf6:" style="anchor-name:--popover-R5imjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5imjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5imjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/NitinShelake4">NitinShelake4</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/play-types-value-and-selection-of-play-material-play-therapy-pptx/276796459"><span class="sr-only">PLAY: Types, value and selection of play material PLAY THERAPY.pptx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:5" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="PLAY: Types, value and selection of play material PLAY THERAPY.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/playplaytherapy-250317054635-194dbf0e-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="PLAY: Types, value and selection of play material PLAY THERAPY.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/playplaytherapy-250317054635-194dbf0e-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">PLAY: Types, value and selection of play material PLAY THERAPY.pptx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save PLAY: Types, value and selection of play material PLAY THERAPY.pptx for later" role="switch" aria-haspopup="dialog" aria-controls=":R5j6jpkf6:" popovertarget=":R5j6jpkf6:" style="anchor-name:--popover-R5j6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5j6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5j6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/pradeepabothu1">PRADEEP ABOTHU</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/pubh1000-module-5-priority-populations/276963162"><span class="sr-only">PUBH1000 - Module 5: Priority Populations</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:6" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="PUBH1000 - Module 5: Priority Populations" src="https://cdn.slidesharecdn.com/ss_thumbnails/m5tutes12025-250320082050-e526ce46-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="PUBH1000 - Module 5: Priority Populations" src="https://cdn.slidesharecdn.com/ss_thumbnails/m5tutes12025-250320082050-e526ce46-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">PUBH1000 - Module 5: Priority Populations</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save PUBH1000 - Module 5: Priority Populations for later" role="switch" aria-haspopup="dialog" aria-controls=":R5jmjpkf6:" popovertarget=":R5jmjpkf6:" style="anchor-name:--popover-R5jmjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5jmjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5jmjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/JonathanHallett4">JonathanHallett4</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/agile-development-and-scrum-extreme-programming/276807211"><span class="sr-only">Agile Development and Scrum, Extreme Programming</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:7" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Agile Development and Scrum, Extreme Programming" src="https://cdn.slidesharecdn.com/ss_thumbnails/agiledevelopmentnew-250317101919-7564d8ba-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Agile Development and Scrum, Extreme Programming" src="https://cdn.slidesharecdn.com/ss_thumbnails/agiledevelopmentnew-250317101919-7564d8ba-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Agile Development and Scrum, Extreme Programming</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Agile Development and Scrum, Extreme Programming for later" role="switch" aria-haspopup="dialog" aria-controls=":R5k6jpkf6:" popovertarget=":R5k6jpkf6:" style="anchor-name:--popover-R5k6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5k6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5k6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/NitinShelake4">NitinShelake4</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/new-widget-to-record-invoice-line-description-odoo-18/276909198"><span class="sr-only">New Widget to Record Invoice Line Description Odoo 18</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:8" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="New Widget to Record Invoice Line Description Odoo 18" src="https://cdn.slidesharecdn.com/ss_thumbnails/newwidgettorecordinvoicelinedescriptionodoo18-250319060824-38dd6db6-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="New Widget to Record Invoice Line Description Odoo 18" src="https://cdn.slidesharecdn.com/ss_thumbnails/newwidgettorecordinvoicelinedescriptionodoo18-250319060824-38dd6db6-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">New Widget to Record Invoice Line Description Odoo 18</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save New Widget to Record Invoice Line Description Odoo 18 for later" role="switch" aria-haspopup="dialog" aria-controls=":R5kmjpkf6:" popovertarget=":R5kmjpkf6:" style="anchor-name:--popover-R5kmjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5kmjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5kmjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/CelineGeorge1">Celine George</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/fuel-injection-in-compression-ignition-engine/277011896"><span class="sr-only">Fuel Injection in Compression Ignition Engine</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:9" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Fuel Injection in Compression Ignition Engine" src="https://cdn.slidesharecdn.com/ss_thumbnails/ch2fuelinjectioninci-250321101013-dac56607-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Fuel Injection in Compression Ignition Engine" src="https://cdn.slidesharecdn.com/ss_thumbnails/ch2fuelinjectioninci-250321101013-dac56607-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Fuel Injection in Compression Ignition Engine</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Fuel Injection in Compression Ignition Engine for later" role="switch" aria-haspopup="dialog" aria-controls=":R5l6jpkf6:" popovertarget=":R5l6jpkf6:" style="anchor-name:--popover-R5l6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5l6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5l6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/NileshKumbhar21">NileshKumbhar21</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/unit-3_digital-certificate_intro_types-pdf/277053761"><span class="sr-only">Unit 3_Digital Certificate_Intro_Types.pdf</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:10" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Unit 3_Digital Certificate_Intro_Types.pdf" src="https://cdn.slidesharecdn.com/ss_thumbnails/unit3digitalcertificateshared-250322160623-d897ecd3-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Unit 3_Digital Certificate_Intro_Types.pdf" src="https://cdn.slidesharecdn.com/ss_thumbnails/unit3digitalcertificateshared-250322160623-d897ecd3-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Unit 3_Digital Certificate_Intro_Types.pdf</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Unit 3_Digital Certificate_Intro_Types.pdf for later" role="switch" aria-haspopup="dialog" aria-controls=":R5lmjpkf6:" popovertarget=":R5lmjpkf6:" style="anchor-name:--popover-R5lmjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5lmjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5lmjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/KanchanPatil34">KanchanPatil34</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/bba-204-unit-2-mm-dr-kanchan-kumari-pptx/276853745"><span class="sr-only">BBA 204 UNIT 2 MM Dr Kanchan Kumari.pptx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:11" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="BBA 204 UNIT 2 MM Dr Kanchan Kumari.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/bba204unit2mmdrkanchankumari-250318054226-e05202b9-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="BBA 204 UNIT 2 MM Dr Kanchan Kumari.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/bba204unit2mmdrkanchankumari-250318054226-e05202b9-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">BBA 204 UNIT 2 MM Dr Kanchan Kumari.pptx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save BBA 204 UNIT 2 MM Dr Kanchan Kumari.pptx for later" role="switch" aria-haspopup="dialog" aria-controls=":R5m6jpkf6:" popovertarget=":R5m6jpkf6:" style="anchor-name:--popover-R5m6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5m6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5m6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/ProfKanchankumariMar">Dr. Kanchan Kumari</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/opportunity-culture-models-atr-legislation-cc9b/276982172"><span class="sr-only">Opportunity Culture Models & ATR Legislation</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:12" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Opportunity Culture Models & ATR Legislation" src="https://cdn.slidesharecdn.com/ss_thumbnails/howocmodelsalignwithatrlegislationrequirements-32025-250320170438-eb492f46-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Opportunity Culture Models & ATR Legislation" src="https://cdn.slidesharecdn.com/ss_thumbnails/howocmodelsalignwithatrlegislationrequirements-32025-250320170438-eb492f46-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Opportunity Culture Models & ATR Legislation</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Opportunity Culture Models & ATR Legislation for later" role="switch" aria-haspopup="dialog" aria-controls=":R5mmjpkf6:" popovertarget=":R5mmjpkf6:" style="anchor-name:--popover-R5mmjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5mmjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5mmjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/educationnc">EducationNC</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/design-policy-and-strategy-in-the-expansion-of-global-economic-initiatives/276875445"><span class="sr-only">Design Policy and Strategy in the Expansion of Global Economic Initiatives</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:13" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Design Policy and Strategy in the Expansion of Global Economic Initiatives" src="https://cdn.slidesharecdn.com/ss_thumbnails/s03-250318145221-957eb6ec-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Design Policy and Strategy in the Expansion of Global Economic Initiatives" src="https://cdn.slidesharecdn.com/ss_thumbnails/s03-250318145221-957eb6ec-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Design Policy and Strategy in the Expansion of Global Economic Initiatives</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Design Policy and Strategy in the Expansion of Global Economic Initiatives for later" role="switch" aria-haspopup="dialog" aria-controls=":R5n6jpkf6:" popovertarget=":R5n6jpkf6:" style="anchor-name:--popover-R5n6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5n6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5n6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/MIPLM">MIPLM</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/how-to-manage-your-company-budget-using-odoo-17-accounting/276957212"><span class="sr-only">How to Manage Your Company Budget Using Odoo 17 Accounting</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:14" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="How to Manage Your Company Budget Using Odoo 17 Accounting" src="https://cdn.slidesharecdn.com/ss_thumbnails/howtomanageyourcompanybudgetusingodoo17accounting-250320053145-365d8d27-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="How to Manage Your Company Budget Using Odoo 17 Accounting" src="https://cdn.slidesharecdn.com/ss_thumbnails/howtomanageyourcompanybudgetusingodoo17accounting-250320053145-365d8d27-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">How to Manage Your Company Budget Using Odoo 17 Accounting</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save How to Manage Your Company Budget Using Odoo 17 Accounting for later" role="switch" aria-haspopup="dialog" aria-controls=":R5nmjpkf6:" popovertarget=":R5nmjpkf6:" style="anchor-name:--popover-R5nmjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5nmjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5nmjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/CelineGeorge1">Celine George</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/determiners-of-quantity-and-count-and-noncount-nouns-pptx/276894504"><span class="sr-only">Determiners of Quantity and Count and Noncount Nouns.pptx</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:15" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Determiners of Quantity and Count and Noncount Nouns.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/determinersofquantity-250318234844-d3db3120-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Determiners of Quantity and Count and Noncount Nouns.pptx" src="https://cdn.slidesharecdn.com/ss_thumbnails/determinersofquantity-250318234844-d3db3120-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Determiners of Quantity and Count and Noncount Nouns.pptx</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Determiners of Quantity and Count and Noncount Nouns.pptx for later" role="switch" aria-haspopup="dialog" aria-controls=":R5o6jpkf6:" popovertarget=":R5o6jpkf6:" style="anchor-name:--popover-R5o6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5o6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5o6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/NetziValdelomar">Netzi Valdelomar Miranda</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/recent-advances-in-insulin-therapy-a-comprehensive-overview/277041072"><span class="sr-only">Recent advances in Insulin therapy: A comprehensive overview</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:16" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Recent advances in Insulin therapy: A comprehensive overview" src="https://cdn.slidesharecdn.com/ss_thumbnails/recentadvancesininsulintherapy-250322071648-30ef759d-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Recent advances in Insulin therapy: A comprehensive overview" src="https://cdn.slidesharecdn.com/ss_thumbnails/recentadvancesininsulintherapy-250322071648-30ef759d-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Recent advances in Insulin therapy: A comprehensive overview</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Recent advances in Insulin therapy: A comprehensive overview for later" role="switch" aria-haspopup="dialog" aria-controls=":R5omjpkf6:" popovertarget=":R5omjpkf6:" style="anchor-name:--popover-R5omjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5omjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5omjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/doc21vignesh">Dr C Vignesh </a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/unit-3_private-key-management_protection-pdf/277053952"><span class="sr-only">Unit 3_Private Key Management_Protection.pdf</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:17" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Unit 3_Private Key Management_Protection.pdf" src="https://cdn.slidesharecdn.com/ss_thumbnails/unit3privatekeymanagement-250322161238-00b97aa6-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Unit 3_Private Key Management_Protection.pdf" src="https://cdn.slidesharecdn.com/ss_thumbnails/unit3privatekeymanagement-250322161238-00b97aa6-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Unit 3_Private Key Management_Protection.pdf</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Unit 3_Private Key Management_Protection.pdf for later" role="switch" aria-haspopup="dialog" aria-controls=":R5p6jpkf6:" popovertarget=":R5p6jpkf6:" style="anchor-name:--popover-R5p6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5p6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5p6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/KanchanPatil34">KanchanPatil34</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/how-to-simplify-reconciliation-process-using-reconciliation-models-using-odoo-accounting/276909589"><span class="sr-only">How to Simplify Reconciliation Process using Reconciliation Models using odoo...</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:18" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="How to Simplify Reconciliation Process using Reconciliation Models using odoo..." src="https://cdn.slidesharecdn.com/ss_thumbnails/howtosimplifyreconciliationprocessusingreconciliationmodelsusingodooaccounting-250319062000-76d6099a-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="How to Simplify Reconciliation Process using Reconciliation Models using odoo..." src="https://cdn.slidesharecdn.com/ss_thumbnails/howtosimplifyreconciliationprocessusingreconciliationmodelsusingodooaccounting-250319062000-76d6099a-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">How to Simplify Reconciliation Process using Reconciliation Models using odoo...</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save How to Simplify Reconciliation Process using Reconciliation Models using odoo... for later" role="switch" aria-haspopup="dialog" aria-controls=":R5pmjpkf6:" popovertarget=":R5pmjpkf6:" style="anchor-name:--popover-R5pmjpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5pmjpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5pmjpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/CelineGeorge1">Celine George</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div><div class="BottomRecommendationCard_root__gffTk SlideshowCard_root__pD8t4 slideshow-card"><a class="SlideshowCardLink_root__p8KI7" data-cy="slideshow-card-link" data-testid="slideshow-card-link" href="https://www.slideshare.net/slideshow/neurodivergent-friendly-schools-beyond-inclusion-towards-belonging/276816182"><span class="sr-only">Neurodivergent Friendly Schools: Beyond Inclusion, Towards Belonging</span></a><div class="slideshow-thumbnail Thumbnail_root__qLW0K SlideshowCard_thumb__86aJk" style="aspect-ratio:16 / 9;--index:19" data-testid="card-thumbnail"><img class="Thumbnail_thumb__UXO3a Thumbnail_blur__opK6A Thumbnail_cover__1zsIi" alt="Neurodivergent Friendly Schools: Beyond Inclusion, Towards Belonging" src="https://cdn.slidesharecdn.com/ss_thumbnails/neurodivergentfriendlyschool-250317135024-9a07bbb5-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/><img class="Thumbnail_thumb__UXO3a Thumbnail_contain__K6M0d" alt="Neurodivergent Friendly Schools: Beyond Inclusion, Towards Belonging" src="https://cdn.slidesharecdn.com/ss_thumbnails/neurodivergentfriendlyschool-250317135024-9a07bbb5-thumbnail.jpg?width=560&fit=bounds" loading="lazy"/></div><div class="SlideshowCard_content__xh7kV slideshow-card-content"><span class="slideshow-title SlideshowTitle_root__2VccW ellipsis" style="-webkit-line-clamp:2" data-cy="slideshow-title">Neurodivergent Friendly Schools: Beyond Inclusion, Towards Belonging</span><button type="button" class="Button_root__i1yp0 Button_secondary__hHiHI Button_text__ZT_3O Button_small__sqsEx Button_icon__1C4qi save-slideshow-button SaveButton_root__b6zuu" data-testid="button" aria-checked="false" aria-label="Save Neurodivergent Friendly Schools: Beyond Inclusion, Towards Belonging for later" role="switch" aria-haspopup="dialog" aria-controls=":R5q6jpkf6:" popovertarget=":R5q6jpkf6:" style="anchor-name:--popover-R5q6jpkf6"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/save.ef1812e2.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><div class="Tooltip_root__7FS0Y" id=":R5q6jpkf6:" popover="manual" data-popover-position="top" style="position-anchor:--popover-R5q6jpkf6"></div><a class="SlideshowAuthor_root__IkT1_ slideshow-author ellipsis" data-testid="slideshow-author" data-cy="slideshow-author" href="https://www.slideshare.net/pookyh">Pooky Knightsmith</a><div class="slideshow-stats SlideshowStats_root__EQOR1"> </div></div></div></div><button aria-label="Previous" type="button" class="Slider_arrow__8LCca Slider_prev__YMssa Slider_hidden__rs7nK"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/chevron-left.50b146c0.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button><button aria-label="Next" type="button" class="Slider_arrow__8LCca Slider_next__fa9IO"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/chevron-right.9b30ad08.svg);background-color:currentColor"></span><span class="sr-only"></span></span></button></div></div></div></div><div id="between-recs-ad-2-container" class="freestar-ad-container FreestarAdContainer_root__qPPC_" style="--fallback-aspect-ratio:undefined / undefined" data-testid="freestar-ad-container"><div><div class="" id="between-recs-ad-2"></div></div></div></div></div><!--/$--><div class="Transcript_root__Vrf6Q"><h2 class="Transcript_title__YgAka"><span class="icon Icon_root__AjZyv" style="--size:24px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/file.5db1ba24.svg);background-color:currentColor"></span><span class="sr-only"></span></span>Powerplanning</h2><div><ul class="Transcript_list__faItj"><div><li>1. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#1">Floorplanning is basically </a> the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip. </li></div><div><li>2. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#2">Floorplanning is basically </a> the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip. It is attained by following steps: • Partition and synthesize larger designs into smaller modules consisting of IP’s and std cells </li></div><div><li>3. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#3">Floorplanning is basically </a> the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip. It is attained by following steps: • Define width and Height of ‘core’ and ‘Die’ using the physical area of synthesized netlist, utilization factor and aspect ratio </li></div><div><li>4. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#4">Floorplanning is basically </a> the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip. It is attained by following steps: • Define locations of pre-placed cells </li></div><div><li>5. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#5">Floorplanning is basically </a> the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip. It is attained by following steps: • Place de-coupling capacitors surrounding pre-placed cells </li></div><div><li>6. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#6">Floorplanning is basically </a> the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip. It is attained by following steps: • Power Planning </li></div><div><li>7. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#7">Floorplanning is basically </a> the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip. It is attained by following steps: • IO Pin/Pad placement </li></div><div><li>8. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#8">• We have </a> defined the Width and Height of the core. • Also defined the locations of pre-placed cells. • We have encapsulated the Pre-placed Cells by Decoupling capacitor. • We will do the Power Planning for the Chip </li></div><div><li>9. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#9">Power Planning Power Planning </a> is to connect each cell in the design to the power source i.e. VDD and VSS. • If observed, while drawing any circuit on paper, we have only one 'vdd' at the top and one 'vss' at the bottom. • But on a chip, it becomes necessary to have a grid structure for power source, with more than one 'vdd' and 'vss‘. 3/2/2013 9 </li></div><div><li>10. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#10">We will convert </a> the power lines into the Power Mesh. </li></div><div><li>11. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#11">DECAP1 </a> D Block a Block b 4 Pre-placed DECAP2 Cells Block c DECAP3 Die Core </li></div><div><li>12. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#12">DECAP1 </a> D Block a Block b 4 DECAP2 Block c DECAP3 Die Core </li></div><div><li>13. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#13">DECAP1 </a> D Block a Block b 4 DECAP2 Block c DECAP3 Die Vss Core Vdd </li></div><div><li>14. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#14">DECAP1 </a> D Block a Block b 4 DECAP2 Block c DECAP3 Die Vss Core Vdd </li></div><div><li>15. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#15">DECAP1 </a> D Block a Block b 4 DECAP2 Block c DECAP3 Die Vss Core Vdd </li></div><div><li>16. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#16">DECAP1 </a> D Block a Block b 4 DECAP2 Block c DECAP3 Die Vss Core Vdd </li></div><div><li>17. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#17">DECAP1 </a> D Block a Block b 4 DECAP2 Block c DECAP3 Die Vss Core Vdd Contact </li></div><div><li>18. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#18">DECAP1 </a> D Block a Block b 4 DECAP2 Block c DECAP3 Die Vss Core Vdd Contact </li></div><div><li>19. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#19">DECAP1 </a> D Block a Block b 4 DECAP2 Block c DECAP3 Die Vss Core Vdd Contact </li></div><div><li>20. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#20">The Power mesh </a> will look as below. </li></div><div><li>21. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#21">Vss Vdd Contact </a></li></div><div><li>22. <a class="Transcript_link__MLbGS" href="https://www.slideshare.net/slideshow/powerplanning/16883536#22">DECAP1 </a> D Block a Block b 4 DECAP2 Block c DECAP3 Die Vss Core Vdd Contact </li></div></ul></div></div><dialog class="Modal_root__TYkzh FullscreenModal_root__efM9m" id=":R2kf6:"><div class="Modal_wrapper__4UTGq"><div class="modal-content Modal_content__R1F4d FullscreenModal_content__bQ6mt"></div></div></dialog><div class="ad textads banner-ads banner_ads ad-unit ad-zone ad-space adsbox ads prebid" style="position:absolute;height:1px"></div><footer class="Footer_footer__N3WmV"><div class="Footer_top__y0vfl" data-testid="footer-top"><div class="Footer_links__F2xFZ"><a class="Link_root__vn3ab Link_light__mcUPh Link_size-medium__ZLo12 Link_weight-bold__me4nt" style="order:0" href="/about">About</a><a class="Link_root__vn3ab Link_light__mcUPh Link_size-medium__ZLo12 Link_weight-bold__me4nt" style="order:1" href="https://support.scribd.com/hc/en/categories/360004792932-SlideShare?userType=SlideShare">Support</a><a class="Link_root__vn3ab Link_light__mcUPh Link_size-medium__ZLo12 Link_weight-bold__me4nt" style="order:2" href="https://support.scribd.com/hc/en/categories/360004792932-SlideShare?userType=SlideShare/articles/210129326-General-Terms-of-Use">Terms</a><a class="Link_root__vn3ab Link_light__mcUPh Link_size-medium__ZLo12 Link_weight-bold__me4nt" style="order:3" href="https://support.scribd.com/hc/en/categories/360004792932-SlideShare?userType=SlideShare/articles/210129366-Privacy-policy">Privacy</a><a class="Link_root__vn3ab Link_light__mcUPh Link_size-medium__ZLo12 Link_weight-bold__me4nt" style="order:4" href="/copyright-policy">Copyright</a><a class="Link_root__vn3ab Link_light__mcUPh Link_size-medium__ZLo12 Link_weight-bold__me4nt" style="order:5" href="https://support.scribd.com/hc/en/articles/360038016931-Privacy-Rights-Request-Form">Do not sell or share my personal information</a><button type="button" class="Footer_cookiePreferenceButton__lDgkB">Cookie Preferences</button></div><button type="button" aria-label="Change Language" class="LanguageSelect_trigger__XUC_9" data-cy="language-select-trigger" aria-haspopup="dialog" aria-controls=":R9j6:" popovertarget=":R9j6:" style="anchor-name:--popover-R9j6">English<span class="icon Icon_root__AjZyv" style="--size:12px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/caret-down.ae4671a7.svg);background-color:currentColor"></span><span class="sr-only"></span></span><span class="sr-only">Current Language</span></button><div class="" id=":R9j6:" popover="manual" data-popover-position="top-end" style="position-anchor:--popover-R9j6"></div></div><div class="separator Separator_root__70Ime Separator_horizontal__czVEa" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><div class="Footer_bottom__reaXc" data-testid="footer-bottom"><span class="Footer_copyright__dje7H">© 2025 SlideShare from Scribd</span><div class="Footer_icons__8EufG"><a class="Link_root__vn3ab Link_light__mcUPh Link_size-large__W0PAv Link_weight-regular__yPpnB" aria-label="Slideshare on Twitter" title="Twitter" href="https://twitter.com/slideshare"><span class="icon Icon_root__AjZyv" style="--size:18px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/twitter.89a06630.svg);background-color:currentColor"></span><span class="sr-only"></span></span></a><div class="separator Separator_root__70Ime Separator_vertical__JYCCK" style="--color:var(--blue-gray-200);--size:1px" role="separator"></div><a class="Link_root__vn3ab Link_light__mcUPh Link_size-large__W0PAv Link_weight-regular__yPpnB" aria-label="Slideshare on RSS Feed" title="RSS" href="https://www.slideshare.net/rss/latest"><span class="icon Icon_root__AjZyv" style="--size:16px"><span class="Icon_icon__4zzsG" style="mask-image:url(https://public.slidesharecdn.com/_next/static/media/rss.5c914539.svg);background-color:currentColor"></span><span class="sr-only"></span></span></a></div></div></footer><div id="portals-container" style="z-index:1"><div id="banner-portal" class="PortalsContainer_bannerRoot__Q_sNw"></div></div></div></div><script src="https://cmp.osano.com/AzZdHGSGtpxCq1Cpt/079b27eb-bb3f-48dd-9bd9-3feb8aec3c38/osano.js"></script><script> // This script assumes the Osano script is synchronously loaded window.eventsToSend = window.eventsToSend || [] if (window.Osano) { window.eventsToSend.push({ name: "osano_loaded", timestamp: new Date().toISOString(), value: JSON.stringify({ time: performance?.now() }) }) } </script><script defer="" nomodule="" src="https://public.slidesharecdn.com/_next/static/chunks/polyfills-42372ed130431b0a.js"></script><script src="https://public.slidesharecdn.com/_next/static/chunks/webpack-67055304329fa938.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/chunks/framework-bd6a9caeb00dbf2a.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/chunks/main-8d3871035d267ecc.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/chunks/pages/_app-fe4b7e9e674d6e61.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/chunks/5121-c12bfd4bed7c2139.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/chunks/6534-44b1f6b92564c66b.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/chunks/1674-c4fa4f8080779ca8.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/chunks/pages/slideshow/%5Btitle%5D/%5Bid%5D-de3433ac709cfa5d.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/b030862495cc07c0e7871314a377e645db7d1165/_buildManifest.js" defer=""></script><script src="https://public.slidesharecdn.com/_next/static/b030862495cc07c0e7871314a377e645db7d1165/_ssgManifest.js" defer=""></script><script id="__NEXT_DATA__" type="application/json">{"props":{"pageProps":{"name":"slideshow","edgeTestAssignments":[{"name":"example","variant":"B"},{"name":"fullscreen_view","variant":"A"},{"name":"gallery_view","variant":"B"},{"name":"nextjs_profile","variant":"B"},{"name":"nextjs_profile_v2","variant":"B"},{"name":"reading_modes","variant":"A"},{"name":"recs_model","variant":"C"},{"name":"recs_placement","variant":"A"},{"name":"recs_placement_v2","variant":"A"},{"name":"sections","variant":"A"},{"name":"single_slide_view_v2","variant":"A"}],"layout":{"currentUser":null,"fullPath":"https://www.slideshare.net/slideshow/powerplanning/16883536","osanoId":"079b27eb-bb3f-48dd-9bd9-3feb8aec3c38","featureFlags":[{"name":"disable_facebook","enabled":true},{"name":"document_interstitials_flag","enabled":true},{"name":"recommendation_impression_tracking","enabled":true},{"name":"search_results_tracking","enabled":true},{"name":"view_restriction_without_subscription_after_five","enabled":true},{"name":"disable_lazy_hydration","enabled":false}]},"countryCodeFromFastly":"SG","slideshow":{"username":"vlsisyst","allowDownloads":true,"allowDownloadOriginalFile":true,"allowEmbeds":true,"canonicalUrl":"https://www.slideshare.net/slideshow/powerplanning/16883536","categories":[{"id":"6","name":"Education","url":"education"}],"createdAt":"2013-03-02 11:24:00 UTC","description":"https://www.udemy.com/vlsi-academy\r\nUsually, while drawing any circuit on paper, we have only one 'vdd' at the top and one 'vss' at the bottom. But on a chip, it becomes necessary to have a grid structure of power, with more than one 'vdd' and 'vss'. The concept of power grid structure would be uploaded soon. It is actually the scaling trend that drives chip designers for power grid structure.\r\n","downloadKey":"1f4ec799c814750fc8498650e42cb2be6e0102eacefa59df49d8c8d71d3fc333","editorsNotes":[],"emailShareUrl":"mailto:?subject=Check out this SlideShare presentation\u0026body=https://www.slideshare.net/slideshow/powerplanning/16883536","extension":"pptx","facebookShareUrl":"https://facebook.com/sharer.php?u=https%3A%2F%2Fwww.slideshare.net%2Fslideshow%2Fpowerplanning%2F16883536\u0026t=Powerplanning","genaiDescriptionCreatedAt":null,"genaiTest":"control","id":"16883536","iframeEmbed":{"url":"https://www.slideshare.net/slideshow/embed_code/key/eyK2LCRtTenTkx","height":486,"width":597},"isIndexable":true,"isLikedByCurrentUser":false,"isPrivate":false,"isViewable":true,"language":"en","likes":0,"linkedinShareUrl":"https://www.linkedin.com/cws/share?url=https%3A%2F%2Fwww.slideshare.net%2Fslideshow%2Fpowerplanning%2F16883536\u0026trk=SLIDESHARE","downloadCount":69,"secretUrl":"eyK2LCRtTenTkx","shouldShowAds":true,"slides":{"host":"https://image.slidesharecdn.com","title":"Powerplanning","imageLocation":"powerplanning-130302112400-phpapp01","imageSizes":[{"quality":85,"width":320,"format":"jpg"},{"quality":85,"width":638,"format":"jpg"},{"quality":75,"width":2048,"format":"webp"}]},"smsShareUrl":"sms:?body=Check out this SlideShare : https://www.slideshare.net/slideshow/powerplanning/16883536","strippedTitle":"powerplanning","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/powerplanning-130302112400-phpapp01-thumbnail.jpg?width=640\u0026height=640\u0026fit=bounds","title":"Powerplanning","totalSlides":22,"transcript":["Floorplanning is basically the arrangement of logical blocks (i.e. multiplexer,\nAND, OR gates, buffers) on silicon chip.\n ","Floorplanning is basically the arrangement of logical blocks (i.e.\nmultiplexer, AND, OR gates, buffers) on silicon chip.\n\nIt is attained by following steps:\n\n• Partition and synthesize larger designs into smaller modules\n consisting of IP’s and std cells\n ","Floorplanning is basically the arrangement of logical blocks (i.e.\nmultiplexer, AND, OR gates, buffers) on silicon chip.\n\nIt is attained by following steps:\n\n• Define width and Height of ‘core’ and ‘Die’ using the physical area of\n synthesized netlist, utilization factor and aspect ratio\n ","Floorplanning is basically the arrangement of logical blocks (i.e.\nmultiplexer, AND, OR gates, buffers) on silicon chip.\n\nIt is attained by following steps:\n\n• Define locations of pre-placed cells\n ","Floorplanning is basically the arrangement of logical blocks (i.e.\nmultiplexer, AND, OR gates, buffers) on silicon chip.\n\nIt is attained by following steps:\n\n• Place de-coupling capacitors surrounding pre-placed cells\n ","Floorplanning is basically the arrangement of logical blocks (i.e.\nmultiplexer, AND, OR gates, buffers) on silicon chip.\n\nIt is attained by following steps:\n\n• Power Planning\n ","Floorplanning is basically the arrangement of logical blocks (i.e.\nmultiplexer, AND, OR gates, buffers) on silicon chip.\n\nIt is attained by following steps:\n\n• IO Pin/Pad placement\n ","• We have defined the Width and Height of the core.\n\n• Also defined the locations of pre-placed cells.\n\n• We have encapsulated the Pre-placed Cells by Decoupling capacitor.\n\n• We will do the Power Planning for the Chip\n ","Power Planning\n\n\nPower Planning is to connect each cell in the design to the power source i.e. VDD and VSS.\n\n\n\n\n • If observed, while drawing any circuit on\n paper, we have only one 'vdd' at the top\n and one 'vss' at the bottom.\n\n • But on a chip, it becomes necessary to\n have a grid structure for power source,\n with more than one 'vdd' and 'vss‘.\n\n\n\n\n 3/2/2013 9\n ","We will convert the power lines into the Power Mesh.\n ","DECAP1\n D\n Block a Block b\n 4\nPre-placed\n DECAP2\n Cells\n Block c\n DECAP3\n\n\n\n\n Die\n\n\n Core\n ","DECAP1\n D\nBlock a Block b\n 4\n DECAP2\n Block c\n DECAP3\n\n\n\n\n Die\n\n\n Core\n ","DECAP1\n D\n Block a Block b\n 4\n DECAP2\n Block c\n DECAP3\n\n\n\n\n Die\n\n\nVss Core\nVdd\n ","DECAP1\n D\n Block a Block b\n 4\n DECAP2\n Block c\n DECAP3\n\n\n\n\n Die\n\n\nVss Core\nVdd\n ","DECAP1\n D\n Block a Block b\n 4\n DECAP2\n Block c\n DECAP3\n\n\n\n\n Die\n\n\nVss Core\nVdd\n ","DECAP1\n D\n Block a Block b\n 4\n DECAP2\n Block c\n DECAP3\n\n\n\n\n Die\n\n\nVss Core\nVdd\n ","DECAP1\n D\n Block a Block b\n 4\n DECAP2\n Block c\n DECAP3\n\n\n\n\n Die\n\n\n Vss Core\n Vdd\nContact\n ","DECAP1\n D\n Block a Block b\n 4\n DECAP2\n Block c\n DECAP3\n\n\n\n\n Die\n\n\n Vss Core\n Vdd\nContact\n ","DECAP1\n D\n Block a Block b\n 4\n DECAP2\n Block c\n DECAP3\n\n\n\n\n Die\n\n\n Vss Core\n Vdd\nContact\n ","The Power mesh will look as below.\n ","Vss\n Vdd\nContact\n ","DECAP1\n D\n Block a Block b\n 4\n DECAP2\n Block c\n DECAP3\n\n\n\n\n Die\n\n\n Vss Core\n Vdd\nContact\n "],"twitterShareUrl":"https://twitter.com/intent/tweet?via=SlideShare\u0026text=Powerplanning+by+%40Anagha_Ghosh+https%3A%2F%2Fwww.slideshare.net%2Fslideshow%2Fpowerplanning%2F16883536","type":"presentation","viewStats":{"views":3297,"viewsFromEmbeds":957,"topEmbeds":[]},"recommendationsByLocation":{"rightRail":[{"algorithmId":"9","displayTitle":"Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks","isSavedByCurrentUser":false,"pageCount":21,"score":0.953956,"slideshowId":"46926242","sourceName":"two_tower","strippedTitle":"physical-design-flow-challenges-at-28nm-on-multimillion-gate-blocks","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/einfochipsnileshranpuravineethv1-150413034320-conversion-gate01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This is the presentation that was shared by Nilesh Ranpura and Vineeth Mathramkote at CDNLIVE 2015. The session briefs about the implementation challenges and covers the solution approach and how to achieve results","tags":["cdn","cadence","28nm technology"],"url":"https://www.slideshare.net/slideshow/physical-design-flow-challenges-at-28nm-on-multimillion-gate-blocks/46926242","userLogin":"eInfochips_Solution","userName":"eInfochips (An Arrow Company)","viewCount":6147},{"algorithmId":"9","displayTitle":"Physical design ","isSavedByCurrentUser":false,"pageCount":21,"score":0.950697,"slideshowId":"108881407","sourceName":"two_tower","strippedTitle":"physical-design-108881407","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/physicaldesign-180807064635-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Physical design involves taking a synthesized netlist as input and performing floorplanning, placement, and routing to produce a physical layout. Key inputs include the netlist, timing constraints, physical libraries, and technology files. The process involves floor planning to determine block placement and routing areas, power planning to create the power distribution network, and pre-routing of standard cells and power grids. The goal is to meet timing constraints while minimizing area.","tags":[],"url":"https://www.slideshare.net/slideshow/physical-design-108881407/108881407","userLogin":"Manjunathhosmani1","userName":"Manjunath hosmani","viewCount":2892},{"algorithmId":"9","displayTitle":"Flip Chip technology","isSavedByCurrentUser":false,"pageCount":37,"score":0.94856,"slideshowId":"44731371","sourceName":"two_tower","strippedTitle":"flip-chip-technology","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/flipchip1-150216080723-conversion-gate01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"flip chip technology, \r\nC4B,\r\nRDL routing,\r\nsolder bump,\r\nbump technology,\r\nIO ring connection with Bump,\r\nBump to pad routing using redistribution layer.","tags":["mantra vlsi","io design","c4b"],"url":"https://www.slideshare.net/slideshow/flip-chip-technology/44731371","userLogin":"DeepakFloria","userName":"Mantra VLSI","viewCount":39321},{"algorithmId":"9","displayTitle":"Timing and Design Closure in Physical Design Flows ","isSavedByCurrentUser":false,"pageCount":31,"score":0.943611,"slideshowId":"59774393","sourceName":"two_tower","strippedTitle":"timing-and-design-closure-in-physical-design-flows","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/isqed2002-paper-160319220256-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"A physical design flow consists of producing a production-worthy layout from a gate-level netlist subject to a set of constraints. We focus on the problems imposed by shrinking process technologies. It exposes the problems of timing closure, signal integrity, design variable dependencies, clock and power/ground routing, and design signoff. It also surveys some physical design flows, and outlines a refinement-based flow. ","tags":["vlsi","logic synthesis","low power"],"url":"https://www.slideshare.net/slideshow/timing-and-design-closure-in-physical-design-flows/59774393","userLogin":"ocoudert","userName":"Olivier Coudert","viewCount":3539},{"algorithmId":"9","displayTitle":"Clock Tree Timing 101","isSavedByCurrentUser":false,"pageCount":29,"score":0.943295,"slideshowId":"67748105","sourceName":"two_tower","strippedTitle":"clock-tree-timing-101","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/ebookclocktreetiming101-161027204158-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Silicon Labs offers the industry’s broadest portfolio of crystal oscillator, clock generator and clock buffer products and PCI Express (PCIe) clock generators and PCI Express buffers.","tags":["timing","clock generators"],"url":"https://www.slideshare.net/slideshow/clock-tree-timing-101/67748105","userLogin":"siliconlabs","userName":"Silicon Labs","viewCount":1923},{"algorithmId":"9","displayTitle":"Asic backend design","isSavedByCurrentUser":false,"pageCount":74,"score":0.942009,"slideshowId":"15804101","sourceName":"two_tower","strippedTitle":"asic-backend-design","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/asicpd-121230112948-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document provides an overview of the ASIC back-end design flow, including physical design steps like floorplanning, placement, clock tree synthesis, and routing. It describes how CAD tools like Astro are used to automate the complex physical design process and optimize a design for timing while meeting other constraints. Key aspects of the flow include floorplanning the design, performing timing-driven placement and routing, building clock trees, and verifying the final implementation against timing and functional requirements.","tags":[],"url":"https://www.slideshare.net/slideshow/asic-backend-design/15804101","userLogin":"kbipeen","userName":"kbipeen","viewCount":16154},{"algorithmId":"9","displayTitle":"Basic synthesis flow and commands in digital VLSI","isSavedByCurrentUser":false,"pageCount":67,"score":0.938085,"slideshowId":"237984417","sourceName":"two_tower","strippedTitle":"basic-synthesis-flow-and-commands-in-digital-vlsi","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/basicsynthesisflowandcommandsindigitalvlsi-200817143917-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document discusses logic synthesis, including the basic synthesis flow and commands, synthesis script flow, technology libraries, design objects like cells and ports, timing paths, and constraints like defining clocks and input/output delays. It provides examples of setting library variables, reading and writing designs, and applying constraints to clocks and I/O. The document contains information on synthesis tools and processes at a high level.","tags":["synthesis flow","synthesis flow commands","digital vlsi"],"url":"https://www.slideshare.net/slideshow/basic-synthesis-flow-and-commands-in-digital-vlsi/237984417","userLogin":"SuryakantaMangaraj","userName":"Surya Raj","viewCount":2521},{"algorithmId":"9","displayTitle":"Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System","isSavedByCurrentUser":false,"pageCount":29,"score":0.936954,"slideshowId":"239633312","sourceName":"two_tower","strippedTitle":"synopsys-fusion-compilercomprehensive-rtltogdsii-implementation-system","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/synopsysfusion-201201040138-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Fusion Compiler is the next-generation RTL-to-GDSII implementation system architected to address the complexities of advanced node designs and deliver up to 20% improved PPA while reducing Time To Results (TTR) by 2X. ","tags":[],"url":"https://www.slideshare.net/slideshow/synopsys-fusion-compilercomprehensive-rtltogdsii-implementation-system/239633312","userLogin":"mostafa_khamis","userName":"Mostafa Khamis","viewCount":10895}],"whatsHot":[{"algorithmId":"5","displayTitle":"VLSI Physical Design Flow(http://www.vlsisystemdesign.com)","isSavedByCurrentUser":false,"pageCount":80,"score":0.87861,"slideshowId":"14250868","sourceName":"cm_interact","strippedTitle":"vlsi-physical-design-flow","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/odijoopresentation1-120911110437-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Learning becomes Fun..\r\nWhen tedious \u0026 difficult topics like Chip Design are explained in simple n creative videos....https://www.udemy.com/vlsi-academy","tags":["sta","transition","vlsi"],"url":"https://www.slideshare.net/slideshow/vlsi-physical-design-flow/14250868","userLogin":"vlsisyst","userName":"VLSI SYSTEM Design","viewCount":13149},{"algorithmId":"5","displayTitle":"Multi mode multi corner (mmmc)","isSavedByCurrentUser":false,"pageCount":6,"score":0.871354,"slideshowId":"131908329","sourceName":"cm_interact","strippedTitle":"multi-mode-multi-corner-mmmc","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/multimodemulticornermmmc-190215102744-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document discusses multi mode multi corner (MMMC) analysis for chip design. It defines that a mode is a set of design parameters like clocks and timing constraints, and a corner captures process, voltage, and temperature variations. It provides examples of multiple modes like normal, sleep, and test modes and corners for temperature, voltage, process variations, and parasitic interconnects. The document gives an example of analyzing a chip with 4 modes under 3 process-voltage-temperature corners and 3 parasitic interconnect corners, showing 9 analysis cases.","tags":[],"url":"https://www.slideshare.net/ShariefShaikSharief/multi-mode-multi-corner-mmmc","userLogin":"ShariefShaikSharief","userName":"shaik sharief","viewCount":5324},{"algorithmId":"5","displayTitle":"Understanding cts log_messages","isSavedByCurrentUser":false,"pageCount":63,"score":0.868138,"slideshowId":"14763325","sourceName":"cm_interact","strippedTitle":"understanding-cts-logmessages","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/understandingctslogmessages-121017042528-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Clock tree synthesis log messages provide information about:\n1) Preprocessing steps like design updates, buffer characterization, and clock tree constraints. \n2) The clock tree synthesis process which includes clustering, meeting timing targets, and reporting results.\n3) Post processing steps like embedded clock tree optimization, DRC fixing, and placement legalization.","tags":[],"url":"https://www.slideshare.net/slideshow/understanding-cts-logmessages/14763325","userLogin":"mujahidmohammed94","userName":"Mujahid Mohammed","viewCount":10620},{"algorithmId":"5","displayTitle":"VLSI-Physical Design- Tool Terminalogy","isSavedByCurrentUser":false,"pageCount":105,"score":0.864118,"slideshowId":"16365140","sourceName":"cm_interact","strippedTitle":"vlsi-floor-planning","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/hwkakoee2-130205113011-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The physical design flow begins with placement which involves assigning exact locations to modules like gates and standard cells to minimize area and interconnect cost while meeting timing constraints, with the goal of enabling easier routing; placement tools take as input the netlist, floorplan, libraries, and constraints to perform global and detailed placement as well as optimization. The quality of placement significantly impacts the ability to route the design successfully.","tags":[],"url":"https://www.slideshare.net/slideshow/vlsi-floor-planning/16365140","userLogin":"muralikancham","userName":"Murali Rai","viewCount":54508},{"algorithmId":"5","displayTitle":"Physical design ","isSavedByCurrentUser":false,"pageCount":110,"score":0.861615,"slideshowId":"41787809","sourceName":"cm_interact","strippedTitle":"physical-design-41787809","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/physicaldesign-141120002209-conversion-gate01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"VLSI Physical Design\r\nData preparation, import design, floorplan\r\nPower planing\r\npower ring, core power, IO power ring, pad, bump creattion.\r\n\r\nPhysical Verification.","tags":["cts","floorplan","vlsi"],"url":"https://www.slideshare.net/DeepakFloria/physical-design-41787809","userLogin":"DeepakFloria","userName":"Mantra VLSI","viewCount":67804},{"algorithmId":"5","displayTitle":"Implementing Useful Clock Skew Using Skew Groups","isSavedByCurrentUser":false,"pageCount":24,"score":0.860541,"slideshowId":"34267548","sourceName":"cm_interact","strippedTitle":"snug-presentation-final4","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/snugpresentationfinal4-140504173230-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Implementing useful skew through skew groups or manual buffer insertion can improve timing in a large memory block design. Skew groups define a target skew value for groups of clock pins before clock tree synthesis, resulting in a smaller clock tree, lower power increase, and less routing complexity compared to manual buffer insertion. Manual insertion precisely controls skew by adding buffers but dramatically increases clock cell count and power consumption versus skew groups. Both methods effectively improved setup timing for the memory block design.","tags":[],"url":"https://www.slideshare.net/slideshow/snug-presentation-final4/34267548","userLogin":"miaofei","userName":"M Mei","viewCount":11503},{"algorithmId":"5","displayTitle":"Floor plan \u0026 Power Plan ","isSavedByCurrentUser":false,"pageCount":50,"score":0.857979,"slideshowId":"76888278","sourceName":"cm_interact","strippedTitle":"floor-plan-power-plan","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/floorplan-170613043300-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document discusses floor planning, which is the first step in physical design. It involves defining the size of the chip, pre-placing hard macros, I/O pads, and defining the power grid. A good floorplan partitions the design into functional blocks, arranges the blocks on the chip, places macros and I/O pads, and decides on the power distribution. Key inputs to floorplanning include the netlist, physical and timing libraries, timing constraints, and power requirements. The document then discusses various aspects of floorplanning such as die size calculations, macro placement guidelines, and different types of physical cells.","tags":[],"url":"https://www.slideshare.net/slideshow/floor-plan-power-plan/76888278","userLogin":"pratyushamadapalli","userName":"Prathyusha Madapalli","viewCount":17785},{"algorithmId":"5","displayTitle":"Physical design-complete","isSavedByCurrentUser":false,"pageCount":303,"score":0.854048,"slideshowId":"46117353","sourceName":"cm_interact","strippedTitle":"physical-designcomplete","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/physical-design-complete-150321120023-conversion-gate01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document discusses various concepts related to physical design implementation. It describes the inputs and outputs of physical design tools, important checks to perform before starting design such as clock and high fanout net budgeting, and concepts like floorplanning, placement, routing, libraries, multi-voltage design, and clock tree synthesis and optimization.","tags":[],"url":"https://www.slideshare.net/slideshow/physical-designcomplete/46117353","userLogin":"muralikancham","userName":"Murali Rai","viewCount":47441},{"algorithmId":"5","displayTitle":"ZERO WIRE LOAD MODEL.pptx","isSavedByCurrentUser":false,"pageCount":25,"score":0.849056,"slideshowId":"252261409","sourceName":"cm_interact","strippedTitle":"zero-wire-load-modelpptx","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/zerowireloadmodel-220721062722-17cd71a4-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":" Here are the key points about setup time, hold time, and insertion delay in VLSI physical design:\n\n- Setup time is the minimum time before the clock edge that the data needs to be stable in order for it to be correctly captured by the flip-flop. \n\n- Hold time is the minimum time after the clock edge that the data needs to remain stable. It provides a \"window\" after the clock edge for the data to remain valid.\n\n- Insertion delay is the time it takes for the clock signal to propagate from the clock source to a flip-flop input pin through the clock tree. \n\n- During clock tree synthesis, the tool aims to balance the insertion delays across the clock tree to minimize","tags":[],"url":"https://www.slideshare.net/VishalYadav29718/zero-wire-load-modelpptx","userLogin":"VishalYadav29718","userName":"VishalYadav29718","viewCount":1164},{"algorithmId":"5","displayTitle":"Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...","isSavedByCurrentUser":false,"pageCount":51,"score":0.84753,"slideshowId":"16322178","sourceName":"cm_interact","strippedTitle":"define-width-and-height-of-core-and-die","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/pnrv1-130203063732-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"https://www.udemy.com/vlsi-academy\r\nThe very first step in chip design is floorplanning, in which the width and height of the chip, basically the area of the chip, is defined. A chip consists of two parts, 'core' and 'die'.\r\n","tags":["die","system","physical design"],"url":"https://www.slideshare.net/slideshow/define-width-and-height-of-core-and-die/16322178","userLogin":"vlsisyst","userName":"VLSI SYSTEM Design","viewCount":10617},{"algorithmId":"5","displayTitle":"Pd flow i","isSavedByCurrentUser":false,"pageCount":13,"score":0.834842,"slideshowId":"88973050","sourceName":"cm_interact","strippedTitle":"pd-flow-i","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/pdflowi-180226131140-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"1. The document discusses the key steps in physical design flow, including import design, floorplanning, placement and routing. \n2. Floorplanning is described as a critical step, where the quality of the floorplan can significantly impact timing closure and design implementation. Good techniques for floorplanning include understanding the design requirements and data flow. \n3. The document outlines the major steps in floorplanning such as sizing and shaping blocks, voltage area creation, pin placement, row creation, macro placement, adding blockages and special cells. Qualifying the floorplan involves checks on pin grids, design rules, power connections and more.","tags":["physical design flow"],"url":"https://www.slideshare.net/slideshow/pd-flow-i/88973050","userLogin":"AlokKumar506","userName":"Alok Kumar","viewCount":878},{"algorithmId":"5","displayTitle":"GUI for DRV fix in ICC2","isSavedByCurrentUser":false,"pageCount":15,"score":0.832459,"slideshowId":"64635361","sourceName":"cm_interact","strippedTitle":"gui-for-drv-fix-in-icc2","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/guifordrvfix-160802204229-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This is a custom GUI, which eases fixing violations either by adding buffer, cloning or sizing. Drop down menu item is created in ICC2 layout window. Desired terminals can be selected by dragging or adding points in rectilinear fashion and desired locations can be selected for adding new buffer. ","tags":["synopsys","gui","icc2"],"url":"https://www.slideshare.net/slideshow/gui-for-drv-fix-in-icc2/64635361","userLogin":"PrashanthChokkarapu","userName":"Prashanth Chokkarapu","viewCount":2820},{"algorithmId":"5","displayTitle":"Timing closure document","isSavedByCurrentUser":false,"pageCount":98,"score":0.828114,"slideshowId":"35285619","sourceName":"cm_interact","strippedTitle":"timing-closure-document","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/timingclosuredocument-140529200816-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document discusses timing closure in FPGA design flows. It explains that timing requirements include clock period/frequency, throughput, and latency. The timing-driven design flow in Lattice Diamond is outlined, highlighting key steps like defining timing constraints, running synthesis and implementation with timing analysis, and iterating to resolve issues. Timing constraints like input/output delays and exceptions are also covered.","tags":[],"url":"https://www.slideshare.net/AlanTran3/timing-closure-document","userLogin":"AlanTran3","userName":"Alan Tran","viewCount":2392},{"algorithmId":"5","displayTitle":"Intellectual property in vlsi","isSavedByCurrentUser":false,"pageCount":4,"score":0.82537,"slideshowId":"87032314","sourceName":"cm_interact","strippedTitle":"intellectual-property-in-vlsi","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/intellectualpropertyinvlsi-180201092003-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Intellectual property (IP) in VLSI design refers to reusable logic or functionality units that can be licensed and used as building blocks in chip designs. There are two main types of IP: hard IP, which includes a pre-designed layout, and soft IP, which is delivered as synthesizable code. Soft IP is more vulnerable to theft since it is in a synthesizable form. Memories are often delivered as hard IP since they require careful analog design and peripheral circuitry to be useful. IP differs from custom chip design in that it is created before a specific use, with the goal of reuse across multiple designs. The IP lifecycle involves initial creation through specification, design, testing, and documentation, followed by integration into","tags":["#vlsi","intellectualproperty"],"url":"https://www.slideshare.net/slideshow/intellectual-property-in-vlsi/87032314","userLogin":"SaranshChoudhary2","userName":"Saransh Choudhary","viewCount":4497},{"algorithmId":"5","displayTitle":"ASIC Design Flow | Physical Design | VLSI ","isSavedByCurrentUser":false,"pageCount":10,"score":0.825123,"slideshowId":"147367912","sourceName":"cm_interact","strippedTitle":"asic-design-flow-physical-design-vlsi","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/asicdesign-190524032643-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document provides an overview of the ASIC design process, which includes the following main steps:\n1. Front-end design including market research, specification, architecture, and RTL design. \n2. Verification of the RTL code by verification engineers.\n3. Synthesis of the RTL code into a gate-level netlist, followed by equivalence checking. \n4. Physical design including placement and routing of standard cells, followed by extraction of parasitic components and timing analysis.\n5. Physical verification including design rule checking and layout vs schematic checking.","tags":["vlsi","physical design","fpga"],"url":"https://www.slideshare.net/slideshow/asic-design-flow-physical-design-vlsi/147367912","userLogin":"JayantSuthar1","userName":"Jayant Suthar","viewCount":3154},{"algorithmId":"5","displayTitle":"Eco","isSavedByCurrentUser":false,"pageCount":17,"score":0.821885,"slideshowId":"86088953","sourceName":"cm_interact","strippedTitle":"eco-86088953","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/cvaue7ysvcwge7tvqfrz-signature-639e321f4c3f5d0e1de28845284efb2a4aa3a269cdb933ed274b8e43418cfdb9-poli-180112201509-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document discusses engineering change orders (ECOs) used to fix timing, functional, power, and clock issues after physical design and sign-off. It describes the motivation for ECOs due to tool limitations and differences between implementation and sign-off. Common ECO techniques are listed for timing (driver upsizing, buffer insertion, etc.), power (vt-swapping, downsizing, etc.), and metal-only ECOs. Timing ECO tools from Synopsys, Cadence, and other vendors are also mentioned. Upcoming ECO technologies like dynamic power optimization and automatic legalization are noted.","tags":["vlsi","timing eco","statistics"],"url":"https://www.slideshare.net/slideshow/eco-86088953/86088953","userLogin":"venkatamekala1","userName":"Rajesh M","viewCount":6079},{"algorithmId":"5","displayTitle":"Placement","isSavedByCurrentUser":false,"pageCount":18,"score":0.817953,"slideshowId":"26813258","sourceName":"cm_interact","strippedTitle":"placement-26813258","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/placement-131003012154-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document discusses physical design and placement optimization in Cadence tools. It covers prerequisites for placement, goals of optimization including timing, power and area. It describes placement flow and discusses pre-placement, in-placement and post-placement optimization stages. Key techniques covered include zero interconnect timing analysis, scan chain handling, pre-placement optimization, congestion-driven placement, and post-placement optimization before and after clock tree synthesis.","tags":["niranjan"],"url":"https://www.slideshare.net/slideshow/placement-26813258/26813258","userLogin":"yogeshmusthyala","userName":"yogesh kumar","viewCount":6138},{"algorithmId":"5","displayTitle":"Placement and routing in full custom physical design","isSavedByCurrentUser":false,"pageCount":17,"score":0.815738,"slideshowId":"10579348","sourceName":"cm_interact","strippedTitle":"placement-and-routing-in-full-custom-physical-design","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/prppt-111213115844-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document discusses placement and routing in full custom VLSI design. Placement involves techniques like diffusion sharing, gate matrix layout, and common centroid to optimize area and performance. Routing creates physical interconnects and involves global routing, track assignment, detail routing, and search and repair to meet timing constraints while resolving design rule checking violations. The quality of placement impacts routability, and routing aims to minimize delay along critical paths through techniques like buffer insertion and wire sizing.","tags":["placement and routing in vlsi"],"url":"https://www.slideshare.net/slideshow/placement-and-routing-in-full-custom-physical-design/10579348","userLogin":"deeptishankardas","userName":"Deiptii Das","viewCount":11790},{"algorithmId":"5","displayTitle":"ASIC DESIGN : PLACEMENT","isSavedByCurrentUser":false,"pageCount":19,"score":0.814777,"slideshowId":"40306910","sourceName":"cm_interact","strippedTitle":"asic-design-40306910","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/asicppts-141015103057-conversion-gate01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This document discusses ASIC placement, which involves assigning exact locations to circuit components within a chip's core area. The goals of placement are to minimize the total interconnect length and costs while meeting timing requirements. It describes two main placement techniques - global placement, which groups cells to minimize interconnect between groups, and detailed placement, which further optimizes placement objectives. The document outlines various placement algorithms, goals, and trends like mixed-size placement and whitespace distribution to improve routability and performance.","tags":["global placement","detailted placement","asic"],"url":"https://www.slideshare.net/slideshow/asic-design-40306910/40306910","userLogin":"helloactiva","userName":"helloactiva","viewCount":5217},{"algorithmId":"5","displayTitle":"Vlsi physical design","isSavedByCurrentUser":false,"pageCount":44,"score":0.813658,"slideshowId":"21131175","sourceName":"cm_interact","strippedTitle":"vlsi-physical-design","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/vlsiphysicaldesign-130513191024-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document discusses the physical design process for VLSI circuits. It describes the main steps as partitioning, floor planning and placement, routing, layout optimization, and extraction and verification. The goals of physical design are to minimize signal delays, interconnection area, and power usage. Physical design transforms the logical structure of a circuit into its physical layout.","tags":[],"url":"https://www.slideshare.net/slideshow/vlsi-physical-design/21131175","userLogin":"deepak2392","userName":"I World Tech","viewCount":9666}],"alsoLiked":[{"algorithmId":"21","displayTitle":"Floorplan (http://www.vlsisystemdesign.com/PD-Flow.php)","isSavedByCurrentUser":false,"pageCount":57,"score":0,"slideshowId":"16323225","sourceName":"li_interact","strippedTitle":"floorplan-16323225","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/floorplan-130203075712-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"https://www.udemy.com/vlsi-academy\r\nFloorplanning is basically the arrangement of logical blocks (i.e. multiplexer, AND, OR gates, buffers) on silicon chip.\r\n","tags":["vlsi","clock","crosstalk"],"url":"https://www.slideshare.net/slideshow/floorplan-16323225/16323225","userLogin":"vlsisyst","userName":"VLSI SYSTEM Design","viewCount":3615},{"algorithmId":"21","displayTitle":"Need of Decoupling Capacitor","isSavedByCurrentUser":false,"pageCount":70,"score":0,"slideshowId":"16883894","sourceName":"li_interact","strippedTitle":"need-of-decap","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/needofdecap-130302115609-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"https://www.udemy.com/vlsi-academy\r\nhttp://vlsisystemdesign.com/need_for_decap.php\r\n\r\nA decoupling capacitor is a capacitor, which is used decouple the critical cells from main power supply, in order to protect the cells from the disturbance occuring in the power distribution lines and source. The purpose of using decoupling capacitors is to deliver current to the gates during switching. Herein, we would peep inside the reasons for the distrubance occuring in the power distribution lines.","tags":["capacitor","vlsi","system"],"url":"https://www.slideshare.net/slideshow/need-of-decap/16883894","userLogin":"vlsisyst","userName":"VLSI SYSTEM Design","viewCount":3229},{"algorithmId":"21","displayTitle":"Define location of Preplaced cells(http://www.vlsisystemdesign.com/PD-Flow.php)","isSavedByCurrentUser":false,"pageCount":61,"score":0,"slideshowId":"16323144","sourceName":"li_interact","strippedTitle":"define-location-of-preplaced-cells","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/definelocpreplacedcells-130203074907-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"https://www.udemy.com/vlsi-academy\r\nDuring placement and routing, most of the placement tools, place/move logic cells based on floorplan specifications. Some of the important or critical cell's locations has to be pre-defined before actual placement and routing stages. The critical cells are mostly the cells related to clocks, viz. clock buffers, clock mux, etc. and also few other cells such as RAM's, ROM,s etc. Since, these cells are placed in to core before placement and routing stage, they are called 'preplaced cells'. ","tags":["chip","capacitance","system design"],"url":"https://www.slideshare.net/slideshow/define-location-of-preplaced-cells/16323144","userLogin":"vlsisyst","userName":"VLSI SYSTEM Design","viewCount":3496},{"algorithmId":"21","displayTitle":"Synthesis","isSavedByCurrentUser":false,"pageCount":20,"score":0,"slideshowId":"10555152","sourceName":"li_interact","strippedTitle":"synthesis-10555152","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/synthesis-111211215549-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document describes how different Verilog code constructs are synthesized to hardware. It provides examples of how always blocks, variables assignments, if/else statements, case statements, mathematical operations, counters and other code are mapped to logic gates, flip-flops and other digital circuits.","tags":[],"url":"https://www.slideshare.net/slideshow/synthesis-10555152/10555152","userLogin":"DeepakFloria","userName":"Mantra VLSI","viewCount":24932},{"algorithmId":"21","displayTitle":"verilog code","isSavedByCurrentUser":false,"pageCount":62,"score":0,"slideshowId":"14913105","sourceName":"li_interact","strippedTitle":"verilog-code","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/verilogcode-121027132700-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"All the verilog which will cover all the interview question.\r\ncover complete knowledge of verilog.\r\ncover vlsi question.\r\nvlsi Interview question.","tags":["verilog interview question all practical"],"url":"https://www.slideshare.net/slideshow/verilog-code/14913105","userLogin":"DeepakFloria","userName":"Mantra VLSI","viewCount":28512},{"algorithmId":"21","displayTitle":"Number system","isSavedByCurrentUser":false,"pageCount":94,"score":0,"slideshowId":"41983310","sourceName":"li_interact","strippedTitle":"number-system-41983310","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/numbersystem-141124230150-conversion-gate02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Digital number system,\r\nBinary Addition,\r\nComplemented number system,\r\n1’s Complement,\r\n2’s Complement,\r\n8421 BCD Code,\r\nGray Code,\r\nExcess-3 Code ,\r\nBoolean Algebra,\r\nDeMorgan's Theorem","tags":["binary addition","complemented number system","boolean algebra"],"url":"https://www.slideshare.net/slideshow/number-system-41983310/41983310","userLogin":"DeepakFloria","userName":"Mantra VLSI","viewCount":25605},{"algorithmId":"21","displayTitle":"Basic electronics","isSavedByCurrentUser":false,"pageCount":27,"score":0,"slideshowId":"15190380","sourceName":"li_interact","strippedTitle":"basic-electronics-15190380","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/basicelectronics-121115073938-phpapp02-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"The document summarizes basic digital logic gates and components including NOT, AND, OR, NAND, NOR, XOR, XNOR gates. It also discusses multiplexers, demultiplexers, half/full adders, half/full subtractors, encoders, decoders, and conversions between binary and gray codes.","tags":[],"url":"https://www.slideshare.net/slideshow/basic-electronics-15190380/15190380","userLogin":"DeepakFloria","userName":"Mantra VLSI","viewCount":26488},{"algorithmId":"21","displayTitle":"Divide by N clock","isSavedByCurrentUser":false,"pageCount":42,"score":0,"slideshowId":"10570385","sourceName":"li_interact","strippedTitle":"divide-by-n-clock","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/clkdiv-111213000346-phpapp01-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"this presentation is based to construct different frequency divide by clock with reference to the system clock.","tags":[],"url":"https://www.slideshare.net/slideshow/divide-by-n-clock/10570385","userLogin":"DeepakFloria","userName":"Mantra VLSI","viewCount":228947}],"similarTo":[],"moreFromUser":[],"featured":null,"latest":[{"algorithmId":"4","displayTitle":"UIDP 7Rs and AI 20250319 v4. digital twinspptx","isSavedByCurrentUser":false,"pageCount":127,"score":0,"slideshowId":"276931322","sourceName":"LATEST","strippedTitle":"uidp-7rs-and-ai-20250319-v4-digital-twinspptx","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/uidp7rsandai20250319v4-250319162633-eb0351c7-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"UIDP\nhttps://uidp.org\n\nEvent\nhttps://web.cvent.com/event/c692351f-9b68-4fa0-a204-c8e5bf54adb2/summary\n\n20250319 7Rs and AI\n\n\n\nJim Twin V1 (English video) - https://youtu.be/T4S0uZp1SHw\nJim Twin V1 (French video) - https://youtu.be/02hCGRJnCoc\nJim Twin OpenSource – https://youtu.be/mwnZjTNegXE\nJim Twin Tmpt.me Platform – https://tmpt.app/@jimtwin\nJim Blog Post - https://service-science.info/archives/6612\nJim EIT Article (Real Jim) - https://www.eitdigital.eu/newsroom/grow-digital-insights/personal-ai-digital-twins-the-future-of-human-interaction/\nJim EIT Talk (Real Jim) - https://youtu.be/_1X6bRfOqc4\nReid Hoffman (English video) - https://youtu.be/rgD2gmwCS10\n\nJohn Wolpert wrote: Hey, if you want to work with me on making your twin Twinzie-style, let me know. I'm implementing Twinzie (trained AIs that can interact with each other, discover win/win opportunities without disclosing the session directly to the respective users) in Valuable -- buyer/seller negotiation without exposing the seller's item before a mutually agreeable price can be proposed. Also starting to get traction for same model with the consulting firm I work with, where they have Twinzies of each of the participants of an experience do private 1-1 sessions to create their own Twinzie, and when group sessions are conducted, ideas in the session can get a score on whether they truly resonate with the participants' gestalt intentions or whether the idea might do violence to someone's intention...without revealing those intentions or outing people. Even in a session with three or four people, the live scoring doesn't provide any real sense of who might not like the idea. It just gives the room a sense of true alignment or a sense that something hidden might need to be addressed.\n\n\n\n\n\n\n","tags":["#uidp","#7rs","#digitaltwin"],"url":"https://www.slideshare.net/slideshow/uidp-7rs-and-ai-20250319-v4-digital-twinspptx/276931322","userLogin":"spohrer","userName":"home","viewCount":331},{"algorithmId":"4","displayTitle":"Fuel Injection in Spark Ignition Engine (Petrol Engine)","isSavedByCurrentUser":false,"pageCount":26,"score":0,"slideshowId":"277011895","sourceName":"LATEST","strippedTitle":"fuel-injection-in-spark-ignition-engine-petrol-engine","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/ch2fuelinjectioninsi-250321101013-2a1c561a-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Engine fuel requirements, Simple carburetor, Complete Carburetor , Solex carburettor, A/F ratio, Electronic Petrol injection system (MPFI) like DMPFI, LMPFI– components such as sensors, ECU etc.","tags":["simple carburetor","complete carburetor","fuel injection in si"],"url":"https://www.slideshare.net/slideshow/fuel-injection-in-spark-ignition-engine-petrol-engine/277011895","userLogin":"NileshKumbhar21","userName":"NileshKumbhar21","viewCount":313},{"algorithmId":"4","displayTitle":"Utilization of the Hague System in light of the Expansion of Global Economic...","isSavedByCurrentUser":false,"pageCount":23,"score":0,"slideshowId":"276874166","sourceName":"LATEST","strippedTitle":"utilization-of-the-hague-system-in-light-of-the-expansion-of-global-economic-activities","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/s04-250318141802-cc9c2e9a-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Utilization of the Hague System \nin light of\nthe Expansion of Global Economic Activities\n","tags":[],"url":"https://www.slideshare.net/slideshow/utilization-of-the-hague-system-in-light-of-the-expansion-of-global-economic-activities/276874166","userLogin":"MIPLM","userName":"MIPLM","viewCount":303},{"algorithmId":"4","displayTitle":"Unit 1 DVWA (Damn Vulnerable Web Application).docx","isSavedByCurrentUser":false,"pageCount":13,"score":0,"slideshowId":"276856826","sourceName":"LATEST","strippedTitle":"unit-1-dvwa-damn-vulnerable-web-application-docx","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/unit1dvwadamnvulnerablewebapplication-250318065911-b8df7c0c-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Damn Vulnerable Web Application (DVWA) is a deliberately insecure web application designed for security professionals, developers, and students to practice and learn web security vulnerabilities. It provides a safe environment to test and understand common web application security issues, such as SQL injection, XSS, CSRF, command execution, and more. DVWA has different security levels (low, medium, high, and impossible) to help users improve their ethical hacking and penetration testing skills. It is commonly used for security training and research purposes.","tags":["sql injection","xss","csrf"],"url":"https://www.slideshare.net/slideshow/unit-1-dvwa-damn-vulnerable-web-application-docx/276856826","userLogin":"ChatanBawankar","userName":"ChatanBawankar","viewCount":280},{"algorithmId":"4","displayTitle":"Requirement Engineering and Software Requirement Specification","isSavedByCurrentUser":false,"pageCount":52,"score":0,"slideshowId":"276807118","sourceName":"LATEST","strippedTitle":"requirement-engineering-and-software-requirement-specification","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/unitirequirmentengg-250317101650-d4e16ce8-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Requirements Capturing : Requirements Capturing,Prioritizing Requirements (Kano Diagram) Requirements Engineering Tasks, Functional Modeling \u0026 Information Flow (DFD, CFD).","tags":["requirements capturing","srs","cfd"],"url":"https://www.slideshare.net/slideshow/requirement-engineering-and-software-requirement-specification/276807118","userLogin":"NitinShelake4","userName":"NitinShelake4","viewCount":220},{"algorithmId":"4","displayTitle":"PLAY: Types, value and selection of play material PLAY THERAPY.pptx","isSavedByCurrentUser":false,"pageCount":28,"score":0,"slideshowId":"276796459","sourceName":"LATEST","strippedTitle":"play-types-value-and-selection-of-play-material-play-therapy-pptx","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/playplaytherapy-250317054635-194dbf0e-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Play: Play is a fun and natural activity that children do on their own for enjoyment. It helps them grow and learn. Even adults can benefit from play in different ways. Play Therapy: Play therapy is a form of psychotherapy that helps children express their emotions, thoughts, and experiences through play. It is used to support their emotional and psychological well-being.","tags":["play","play therapy","types of play"],"url":"https://www.slideshare.net/slideshow/play-types-value-and-selection-of-play-material-play-therapy-pptx/276796459","userLogin":"pradeepabothu1","userName":"PRADEEP ABOTHU","viewCount":358},{"algorithmId":"4","displayTitle":"PUBH1000 - Module 5: Priority Populations","isSavedByCurrentUser":false,"pageCount":16,"score":0,"slideshowId":"276963162","sourceName":"LATEST","strippedTitle":"pubh1000-module-5-priority-populations","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/m5tutes12025-250320082050-e526ce46-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":".","tags":[],"url":"https://www.slideshare.net/slideshow/pubh1000-module-5-priority-populations/276963162","userLogin":"JonathanHallett4","userName":"JonathanHallett4","viewCount":158},{"algorithmId":"4","displayTitle":"Agile Development and Scrum, Extreme Programming","isSavedByCurrentUser":false,"pageCount":88,"score":0,"slideshowId":"276807211","sourceName":"LATEST","strippedTitle":"agile-development-and-scrum-extreme-programming","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/agiledevelopmentnew-250317101919-7564d8ba-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Agile Development: Agile manifesto, agility and cost of change, agility principles, myth of planned development.\nExtreme Programming: XP values, process, industrial XP.\nSCRUM: process flow, scrum roles, scrum cycle description, product backlog, sprint planning meeting, sprint backlog, sprint execution, daily scrum meeting, maintaining sprint backlog and burn-down chart, sprint review and retrospective.","tags":["extreme programming","xp","xp values"],"url":"https://www.slideshare.net/slideshow/agile-development-and-scrum-extreme-programming/276807211","userLogin":"NitinShelake4","userName":"NitinShelake4","viewCount":461},{"algorithmId":"4","displayTitle":"New Widget to Record Invoice Line Description Odoo 18","isSavedByCurrentUser":false,"pageCount":7,"score":0,"slideshowId":"276909198","sourceName":"LATEST","strippedTitle":"new-widget-to-record-invoice-line-description-odoo-18","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/newwidgettorecordinvoicelinedescriptionodoo18-250319060824-38dd6db6-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"As we know, Odoo launches every new version with a wide variety of changes both functional and technical so far. Likewise, in Odoo 18 also, there are a lot of new features which can fulfill the needs of the users adequately. In this slide, we are going to discuss a new feature added to Odoo 18’s Invoice line description.","tags":["odoo slides","odoo technical","odoo"],"url":"https://www.slideshare.net/slideshow/new-widget-to-record-invoice-line-description-odoo-18/276909198","userLogin":"CelineGeorge1","userName":"Celine George","viewCount":295},{"algorithmId":"4","displayTitle":"Fuel Injection in Compression Ignition Engine","isSavedByCurrentUser":false,"pageCount":20,"score":0,"slideshowId":"277011896","sourceName":"LATEST","strippedTitle":"fuel-injection-in-compression-ignition-engine","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/ch2fuelinjectioninci-250321101013-dac56607-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Requirements of injection system, Types of injection systems – Individual pump, Common rail and Distributor systems, Unit injector, Types of fuel nozzles- single hole, multi hole, pintle, and pintaux, Electronic diesel injection system.","tags":["fuel nozzles","individual pump","common rail and distributor"],"url":"https://www.slideshare.net/slideshow/fuel-injection-in-compression-ignition-engine/277011896","userLogin":"NileshKumbhar21","userName":"NileshKumbhar21","viewCount":313},{"algorithmId":"4","displayTitle":"Unit 3_Digital Certificate_Intro_Types.pdf","isSavedByCurrentUser":false,"pageCount":20,"score":0,"slideshowId":"277053761","sourceName":"LATEST","strippedTitle":"unit-3_digital-certificate_intro_types-pdf","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/unit3digitalcertificateshared-250322160623-d897ecd3-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Introduction to Digital Certificates, Certification Authority, Contents of Digital Certificate, Digital Certificate Versions, Certificate Types","tags":["digital certificate","certification authority","contents of digital certificat"],"url":"https://www.slideshare.net/slideshow/unit-3_digital-certificate_intro_types-pdf/277053761","userLogin":"KanchanPatil34","userName":"KanchanPatil34","viewCount":124},{"algorithmId":"4","displayTitle":"BBA 204 UNIT 2 MM Dr Kanchan Kumari.pptx","isSavedByCurrentUser":false,"pageCount":12,"score":0,"slideshowId":"276853745","sourceName":"LATEST","strippedTitle":"bba-204-unit-2-mm-dr-kanchan-kumari-pptx","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/bba204unit2mmdrkanchankumari-250318054226-e05202b9-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Study Material for Management Student","tags":["segmentation","types of analysis","positioning"],"url":"https://www.slideshare.net/slideshow/bba-204-unit-2-mm-dr-kanchan-kumari-pptx/276853745","userLogin":"ProfKanchankumariMar","userName":"Dr. Kanchan Kumari","viewCount":300},{"algorithmId":"4","displayTitle":"Opportunity Culture Models \u0026 ATR Legislation","isSavedByCurrentUser":false,"pageCount":2,"score":0,"slideshowId":"276982172","sourceName":"LATEST","strippedTitle":"opportunity-culture-models-atr-legislation-cc9b","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/howocmodelsalignwithatrlegislationrequirements-32025-250320170438-eb492f46-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"This table from Public Impact and The Innovation Project shows how models from Public Impact, called Opportunity Culture models, align with North Carolina's Advanced Teaching Roles (ATR) legislative requirements. ","tags":["education","north carolina"],"url":"https://www.slideshare.net/slideshow/opportunity-culture-models-atr-legislation-cc9b/276982172","userLogin":"educationnc","userName":"EducationNC","viewCount":374},{"algorithmId":"4","displayTitle":"Design Policy and Strategy in the Expansion of Global Economic Initiatives","isSavedByCurrentUser":false,"pageCount":10,"score":0,"slideshowId":"276875445","sourceName":"LATEST","strippedTitle":"design-policy-and-strategy-in-the-expansion-of-global-economic-initiatives","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/s03-250318145221-957eb6ec-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Design Policy and Strategy\nin the Expansion of Global Economic Initiatives","tags":[],"url":"https://www.slideshare.net/slideshow/design-policy-and-strategy-in-the-expansion-of-global-economic-initiatives/276875445","userLogin":"MIPLM","userName":"MIPLM","viewCount":362},{"algorithmId":"4","displayTitle":"How to Manage Your Company Budget Using Odoo 17 Accounting","isSavedByCurrentUser":false,"pageCount":41,"score":0,"slideshowId":"276957212","sourceName":"LATEST","strippedTitle":"how-to-manage-your-company-budget-using-odoo-17-accounting","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/howtomanageyourcompanybudgetusingodoo17accounting-250320053145-365d8d27-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Effective budget management is vital for a company's success. Odoo 17 streamlines financial management with tools for transactions, invoicing, and budgeting. Set up budgets for departments, projects, or cost centers, define goals, allocate resources, and set spending limits. ","tags":["company budget using odoo 17","odoo 17","odoo 18"],"url":"https://www.slideshare.net/slideshow/how-to-manage-your-company-budget-using-odoo-17-accounting/276957212","userLogin":"CelineGeorge1","userName":"Celine George","viewCount":207},{"algorithmId":"4","displayTitle":"Determiners of Quantity and Count and Noncount Nouns.pptx","isSavedByCurrentUser":false,"pageCount":18,"score":0,"slideshowId":"276894504","sourceName":"LATEST","strippedTitle":"determiners-of-quantity-and-count-and-noncount-nouns-pptx","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/determinersofquantity-250318234844-d3db3120-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Count and Noncount Nouns, Determiners of Quantity","tags":["determiners of quantity"],"url":"https://www.slideshare.net/slideshow/determiners-of-quantity-and-count-and-noncount-nouns-pptx/276894504","userLogin":"NetziValdelomar","userName":"Netzi Valdelomar Miranda","viewCount":95},{"algorithmId":"4","displayTitle":"Recent advances in Insulin therapy: A comprehensive overview","isSavedByCurrentUser":false,"pageCount":128,"score":0,"slideshowId":"277041072","sourceName":"LATEST","strippedTitle":"recent-advances-in-insulin-therapy-a-comprehensive-overview","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/recentadvancesininsulintherapy-250322071648-30ef759d-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Recent Advances in Insulin Therapy: A Comprehensive Overview\n\nThis presentation provides an in-depth overview of insulin therapy, covering its historical evolution, structure, mechanism of action, and recent advances. The session is designed to enhance understanding of insulin's role in diabetes management and the latest innovations in its therapeutic application. \n\nKey Highlights:\n✅ Pancreatic Anatomy \u0026 Physiology – Understanding the endocrine function of the pancreas and insulin secretion mechanisms. \n✅ Insulin Structure \u0026 Mechanism of Action – Exploring the biochemical structure, receptor interactions, and physiological regulation of insulin. \n✅ Diabetes Mellitus (DM)– Definition, classification, diagnostic criteria, and complications associated with diabetes. \n✅ Timeline of Insulin Development– A historical perspective on the discovery and evolution of insulin therapy. \n✅ Recent Advances in Insulin Therapy – Discussion on novel insulin analogues (ultra-rapid, basal, and glucose-responsive insulins) and innovative insulin delivery systems (smart pens, closed-loop pumps, oral and inhaled insulins). \n\nThis presentation is useful for medical students, healthcare professionals, and researchers interested in advancements in diabetes management. \n\nKeywords: Insulin therapy, insulin analogues, diabetes management, insulin delivery systems, diabetes mellitus, recent advances ","tags":["insulin therapy","insulin analogues","diabetes mellitus"],"url":"https://www.slideshare.net/slideshow/recent-advances-in-insulin-therapy-a-comprehensive-overview/277041072","userLogin":"doc21vignesh","userName":"Dr C Vignesh ","viewCount":240},{"algorithmId":"4","displayTitle":"Unit 3_Private Key Management_Protection.pdf","isSavedByCurrentUser":false,"pageCount":12,"score":0,"slideshowId":"277053952","sourceName":"LATEST","strippedTitle":"unit-3_private-key-management_protection-pdf","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/unit3privatekeymanagement-250322161238-00b97aa6-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Private Key Management, Guidelines, Key Updates, Mechanism to Protect Key","tags":["private key management","guidelines","key updates"],"url":"https://www.slideshare.net/slideshow/unit-3_private-key-management_protection-pdf/277053952","userLogin":"KanchanPatil34","userName":"KanchanPatil34","viewCount":109},{"algorithmId":"4","displayTitle":"How to Simplify Reconciliation Process using Reconciliation Models using odoo...","isSavedByCurrentUser":false,"pageCount":35,"score":0,"slideshowId":"276909589","sourceName":"LATEST","strippedTitle":"how-to-simplify-reconciliation-process-using-reconciliation-models-using-odoo-accounting","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/howtosimplifyreconciliationprocessusingreconciliationmodelsusingodooaccounting-250319062000-76d6099a-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"In a firm, daily transactions are invoiced, but the accounting department may not enter all details immediately. Weekly, account statements are reviewed for reconciliation, ensuring accuracy and transparency by comparing cash transactions with bank records.","tags":["odoo","odoo 18","odoo 17"],"url":"https://www.slideshare.net/slideshow/how-to-simplify-reconciliation-process-using-reconciliation-models-using-odoo-accounting/276909589","userLogin":"CelineGeorge1","userName":"Celine George","viewCount":418},{"algorithmId":"4","displayTitle":"Neurodivergent Friendly Schools: Beyond Inclusion, Towards Belonging","isSavedByCurrentUser":false,"pageCount":98,"score":0,"slideshowId":"276816182","sourceName":"LATEST","strippedTitle":"neurodivergent-friendly-schools-beyond-inclusion-towards-belonging","thumbnail":"https://cdn.slidesharecdn.com/ss_thumbnails/neurodivergentfriendlyschool-250317135024-9a07bbb5-thumbnail.jpg?width=600\u0026height=600\u0026fit=bounds","description":"Slides from a keynote talk\n\n--\nFor more information about my speaking and training work, visit: https://www.pookyknightsmith.com/speaking/","tags":[],"url":"https://www.slideshare.net/slideshow/neurodivergent-friendly-schools-beyond-inclusion-towards-belonging/276816182","userLogin":"pookyh","userName":"Pooky Knightsmith","viewCount":646}]},"slideDimensions":{"height":540,"width":720},"sectionSummaries":[{"summaryList":"[\n {\n \"slides\": [\n 1,\n 2,\n 3,\n 4,\n 5,\n 6,\n 7\n ],\n \"topic\": \"Introduction to Floorplanning\",\n \"cover\": \"Overview of floorplanning describes the arrangement of logical blocks on silicon chips. Steps include partitioning designs, defining dimensions, locations, and placing capacitors.\"\n },\n {\n \"slides\": [\n 8,\n 9\n ],\n \"topic\": \"Power Planning\",\n \"cover\": \"Details power planning for designs, emphasizing the need for a grid structure for power sources with multiple 'vdd' and 'vss' connections to cells.\"\n },\n {\n \"slides\": [\n 10,\n 11,\n 12,\n 13,\n 14,\n 15,\n 16,\n 17,\n 18,\n 19,\n 20,\n 21,\n 22\n ],\n \"topic\": \"Power Mesh Design\",\n \"cover\": \"Illustrates the conversion of power lines into a power mesh, depicting its structure along with locations of decoupling capacitors and logical blocks.\"\n }\n]"}],"topReadSlides":[{"slideIndex":15,"ranking":1},{"slideIndex":6,"ranking":2},{"slideIndex":21,"ranking":3}],"user":{"id":"43053023","isFollowing":false,"login":"vlsisyst","name":"VLSI SYSTEM Design","occupation":"VLSI Engineer","organization":"VLSI System Design","photo":"https://cdn.slidesharecdn.com/profile-photo-vlsisyst-48x48.jpg?cb=1523413164","photoExists":true,"shortName":"VLSI SYSTEM Design"},"views":4254},"_nextI18Next":{"initialI18nStore":{"en":{"common":{"ad":{"fallbackText":"Ad for Scribd subscription","label":"Ad","close":"Close Ad","dismiss_in":"Dismiss in","ad_info_title":"Why are you seeing this?","ad_info_description":"We use ads to keep content free and accessible for everyone. You can remove them by \u003c2\u003esigning up\u003c/2\u003e for a SlideShare subscription."},"error":{"favorite_add":"We couldn't add SlideShare to favorites","favorite_remove":"We couldn't remove SlideShare from favorites","follow":"There was a problem following this user","unfollow":"There was a problem un-following this user","save":"Unable to save this item at this time.","remove_save":"We couldn't remove from your saved items"},"header":{"hamburger_menu_aria_label":"Open navigation menu","logo":{"alt":"SlideShare a Scribd company logo","title":"Return to the homepage"},"home":"Home","explore":"Explore","search":{"placeholder":"Search","aria_label":"Search SlideShare","button":"Submit Search","suggestion_1":"business model canvas example","suggestion_2":"social media strategy outline","suggestion_3":"marketing principles diagram","suggestion_4":"ai in healthcare presentation","suggestion_5":"writing skills checklist","suggestion_6":"21st century literature talk","tooltip":"For better results, use descriptive keywords to find the most relevant presentations. \u003cb\u003eExample:\u003c/b\u003e\u003cem\u003e Instead of 'Artificial Intelligence', try 'AI in healthcare trends'\u003c/em\u003e."},"upload":"Upload","login":"Login","signup":{"label":"Download free for 30 days","title":"Signup now for a SlideShare account"},"user":{"aria_label":"User Settings","clipboards":"My Clipboards","uploads":"My Uploads","analytics":"Analytics","settings":"Account Settings","support":"Support","logout":"Logout"}},"footer":{"link":{"about":"About","support":"Support","terms":"Terms","privacy":"Privacy","copyright":"Copyright","cookie":"Cookie Preferences","privacyRights":"Do not sell or share my personal information","everand":"Everand"},"language_selector":{"aria_label":"Change Language","hidden_text":"Current Language"},"copyright":"SlideShare from Scribd","social":{"rss":{"aria_label":"Slideshare on RSS Feed","title":"RSS"},"twitter":{"aria_label":"Slideshare on Twitter","title":"Twitter"}}},"app_notification_banner":{"notification_text":"We've updated our privacy policy.","notification_link_text_desktop":"Click here to review the details.","notification_link_text_mobile":"Tap here to review the details."},"privacy_policy_explicit_opt_in_modal":{"modal_title":"We've updated our privacy policy.","modal_content_paragraph_one":"We’ve updated our privacy policy so that we are compliant with changing global privacy regulations and to provide you with insight into the limited ways in which we use your data.","modal_content_paragraph_two":"You can read the details below. By accepting, you agree to the updated privacy policy.","modal_content_paragraph_three":"Thank you!","modal_action_assertive":"Accept and continue","modal_action":"View updated privacy policy","modal_action_error":"We've encountered a problem, please try again."},"actions":{"addToSaved":"Save for later","addToSavedA11y":"Save {{title}} for later","all_saved":"All Saved","back":"Back","close":"Close","cancel":"Cancel","save":"Save","save_slide":"Save slide","saved":"Saved","submit":"Submit","next":"Next","previous":"Previous","removeSaved":"Remove from saved","removeSavedA11y":"Remove {{title}} from saved","scrollLeft":"Scroll Left","scrollRight":"Scroll Right","save_to_new_list_success":"Saved to "},"filter":{"apply":"Apply"},"form":{"required":"Required"},"slideshow_card":{"slideshow_type":{"document":"Document","infographic":"Infographic","presentation":"Presentation","documents":"Documents","infographics":"Infographics","presentations":"Presentations","downloads":"Downloads","likes":"Likes"},"slideshow_type_unit":{"presentation":"Slide","document":"Page","infographic":"Page"},"pages_count_one":"{{count}} page","pages_count_other":"{{count}} pages","slides_count_one":"{{count}} slide","slides_count_other":"{{count}} slides","screen_reader_text":"{{title}} by {{author}}","screen_reader_text_extended":"{{title}} by {{author}}, has {{slideCount}} slides with {{viewCount}} views.","view_one":"view","view_other":"views"},"image_alt":{"studying":"Abstract image of a woman sitting on books and studying on a laptop"},"items":{"count_one":"{{count}} item","count_other":"{{count}} items"},"time":{"second_one":"{{count}} second","second_other":"{{count}} seconds"},"timeSince":{"minutes_ago":"{{count}} min. ago","hours_ago_one":"{{count}} hour ago","hours_ago_other":"{{count}} hours ago","days_ago_one":"{{count}} day ago","days_ago_other":"{{count}} days ago","months_ago_one":"{{count}} month ago","months_ago_other":"{{count}} months ago","years_ago_one":"{{count}} year ago","years_ago_other":"{{count}} years ago"},"see_more":"See more","see_less":"See Less","yes":"Yes","no":"No","signup":"Sign Up","next_label":"Next","previous_label":"Previous"},"player":{"actions":{"all_saved":"All Saved","clip":"Clip Slide","copy_text":"Copy text","copy_text_success":"Text copied to clipboard","copy_text_error":"Failed to copy the text. Please try again!","download_presentation":"Download presentation","download_slide":"Download slide","download_document":"Download document","download_page":"Download page","download_now":"Download now","download":"Download","favorite":"Favorite","remove_favorite":"Remove favorite","remove_like":"Remove like","like":"Like","follow":"Follow","unfollow":"Unfollow","more_options":"More options","share":"Share","saved":"Saved","remove_from_list":"Remove from list {{listname}}","removed_from_list":"Saved item has been removed from the List","removed_from_list_error":"Could not remove the saved item from list","save_error":"Unable to save this item at this time.","save_to_new_list":"New list","save_to_list":"Save to list {{listname}}","scroll_view":"Scroll view","scroll_view_description":"Vertically scroll through all slides","slideshow_view":"Slideshow view","slideshow_view_description":"View one slide at a time","no_saved_lists":"You don't have any lists created yet."},"ads":{"label":"Ad","skip":"Skip to next slide","skip_countdown":"You can skip to the next slide in","continue_in":"Continue in","change_volume":"Change Volume","download_read_ad_free":"Download to read ad-free","scroll":"Scroll to read more"},"ad_block_interstitial":{"title_1":"Keep SlideShare free —","title_2":"please disable your ad blocker.","button_label":"Show me how","message":"Hate ads? Join Scribd to browse SlideShare and Scribd ad-free.","cta":"Try Scribd for free"},"author":{"by":"by","follow":"Follow","following":"Following","keynoteAuthor":"Keynote Author"},"download":{"success":"Your SlideShare is downloading."},"editors_notes":"Editor's Notes","end_of_reading":{"loading":"Loading in","title":"Check these out next","next_slideshares":"Next SlideShares","upcoming_slideshare":"Upcoming SlideShare"},"first_clip":{"title":"You just clipped your first slide!","description":"Clipping is a handy way to collect important slides you want to go back to later. Now customize the name of a clipboard to store your clips."},"metadata":{"at":"at","aiTag":{"descriptionLabel":"AI-enhanced description","descriptionTooltipTitle":"AI-Enhanced Description","descriptionTooltip":"Leveraging AI technology, we've optimized the description for improved clarity.","titleLabel":"AI-enhanced title","titleTooltipTitle":"AI-Enhanced Title","titleTooltip":"Leveraging AI technology, we've optimized the title for improved clarity.","titleAndDescriptionLabel":"AI-enhanced title and description","titleAndDescriptionTooltipTitle":"AI-Enhanced Title and Description","titleAndDescriptionTooltip":"Leveraging AI technology, we've optimized the title and description for improved clarity."},"download":{"bottomSheetTitle":"Download format","label_short":"Download","label":"Download now","description":"Download to read offline","download_count":"Downloaded {{count}} times"},"download_as":"Download as {{formatTypes}}","readMore":"Read more","readLess":"Read less","more":"more","category":{"label":"Category","description":"View the featured presentations, documents and infographics in the"},"dateFormat":"MMM. D, YYYY","most_read":"Most read","pages":"Pages","page":"Page"},"navigation":{"next_slide":"Next Slide","previous_slide":"Previous Slide","slide_count_of_total_count":"\u003cspan data-cy='current-slide-number' class='current-slide-number j-current-slide'\u003e{{slideCount}}\u003c/span\u003e of \u003cspan class='total-slides j-total-slides'\u003e{{totalCount}}\u003c/span\u003e","view_fullscreen":"View Fullscreen","zoom_in":"Zoom In","zoom_out":"Zoom Out"},"new_clipboard_modal":{"title":"Create a clipboard","name":{"label":"Name","placeholder":"Best of Slides","error":"Name cannot be blank"},"description":{"label":"Description","placeholder":"Add a brief description so other know what your clipboard is about."},"visibility":{"label":"Visibility","description":"Others can see my Clipboard"}},"sections":{"ai_popover":"Leveraging AI, we’ve generated a content overview for enhanced navigation.","ai_label":"Contents are AI-generated","thumbnails":"Thumbnails","contents":"Contents"},"select_clipboard_modal":{"title":"Select a clipboard","message":"Looks like you've already clipped this slide","button":"Create a clipboard"},"share":"Share SlideShare","rec":{"related":"Related slideshows","recommended_for_you":"Recommended for you","descTooltipTitle":"About the slideshow","recommended":{"title":"Recommended","shortTitle":"Recommended"},"relatedContent":{"title":"More Related Content","shortTitle":"More Related Content"},"featured":{"title":"Featured","shortTitle":"Featured"},"forYou":{"title":"Slideshows for you","shortTitle":"Slideshows for you"},"alsoLiked":{"title":"Viewers also liked","shortTitle":"Viewers also liked"},"latest":{"title":"Recently uploaded","shortTitle":"Recently uploaded"},"moreFromUser":{"title":"More from {{name}}","shortTitle":"More from uploader"},"similarTo":{"title":"Similar to {{title}}","shortTitle":"Similar to"},"whatsHot":{"title":"What's hot","shortTitle":"What's hot"}},"scribd":{"books":{"label":"Ebook","title":"Related Books"},"audioBooks":{"label":"Audiobook","title":"Related Audiobooks"},"error":{"title":"Oops! Something went wrong.","description":"We're sorry, but we can't find any related content at this time.","button":"Try again"},"freeWithTrial":"Free with a 30 day trial from {{brand}}","viewAll":"View All"},"error":{"clipboard":"We couldn't create your clipboard","save":"We couldn't save this item","unsave":"We couldn't remove from your saved items","blocked":"This user has blocked you","private_content":"Private content!","privacy_explanation":"This content has been marked as private by the uploader.","enter_password":"Enter password","file_protected":"This file is password protected.","password_incorrect":"The password is incorrect.","private_presentation":"Private Presentation","private_content_message":"This uploaded file has been marked private by the author. Sorry!","removed_content_title":"Uploaded Content Removed","removed_content_message":"The uploaded content has been removed and is no longer available.","redirect_message":"Redirecting to the homepage in","something_went_wrong_title":"Sorry! The page could not be loaded.","something_went_wrong_message":"This is probably a temporary error. Just refresh the page and retry. If the problem continues, please check back in 5-10 minutes.","not_found_title":"Sorry! We could not find what you were looking for.","not_found_message":"Don't worry, we will help you get to the right place. Are you looking for:"},"save_to_new_list_modal":{"title":"Add to a new list","list_name_input_label":"What would you like to name this list?","list_privacy_label":"Make list private","cancel_button_label":"Cancel","save_list_button_label":"Save","success":"Saved to ","error":"We couldn't save this item to {{listname}}"},"confirm_remove_save_modal":{"title":"This saved item is also in a list.","description":"Removing from saved will also delete the item from your lists","cancel_button_label":"Cancel","delete_button_label":"Delete"},"seo_metadata_test":{"description_suffix":"Download as a PDF or view online for free"}},"metadata":{"gallery_view":"Gallery","gallery_view_label":"Gallery View","like_one":"like","like_other":"likes","likeWithCount_one":"{{count}} like","likeWithCount_other":"{{count}} likes","view_one":"view","view_other":"views","saved_one":"saved","saved_other":"saved","from_embeds":"From embeds","number_of_embeds":"Number of embeds","on_slideshare":"On Slideshare","total_views":"Total views","split_char":",","decimal_point":".","show_more":"Show More","likes":{"empty_message":"Be the first to like this","loading_message":"Loading..."},"slide_one":"slide","slide_other":"slides","slide_count_of_total":"of","study_guide":{"cta":"Convert to study guide","beta_label":"BETA","description":"Transform any presentation into a summarized study guide, highlighting the most important points and key insights.","button_cta":"Convert","label":"Study guide","modal_title":"Coming soon!","modal_description":"We're working on bringing automatic study guide generation to presentations. Help us shape this feature by sharing your feedback.","modal_question":"How often would you convert presentations into study guides if this feature was available?","modal_complete":"We appreciate you taking the time to share your thoughts. Your input will help us build a feature that better meets your needs. Stay tuned as we work to bring this to life!","modal_options":{"option_1":"Frequently (4+ times per month)","option_2":"Occasionally (1-3 times per month)","option_3":"Rarely (few times per year)","option_4":"Not interested"}},"study_materials":{"cta":"Convert to study materials","label":"Convert","description":"Transform any presentation into ready-made study material—select from outputs like summaries, definitions, and practice questions.","modal_description":"We're working on introducing automatic content conversion to help transform presentations into customized study materials. Help us shape this feature by sharing your feedback.","modal_question":"What output would be most helpful for your conversion use case?","modal_options":{"option_1":"Summaries of main concepts","option_2":"Key definitions or terms","option_3":"Real-world examples","option_4":"Practice questions or exercises"}}},"report":{"more":"More options","share":"Share","report":"Report","edit":"Edit","viewAnalytics":"View Analytics","flag_as_inappropriate":"Report as inappropriate","error":"There was an error while reporting this slideshow. Please try again.","flag":"Flag","copyright_complaint":"Copyright Complaint","select_a_reason":"Select a reason","select_your_reason":"Select your reason for reporting this presentation as inappropriate.","none":"None","porn":"Pornographic","defamatory":"Defamatory","ultraviolence":"Extremely Violent or Promotes Terrorism","hate_speech":"Hate Speech","offensive":"Offensive Language or Threatening","spam":"Spam or Scam","form":{"title":"Report content","description":"If you are the copyright owner of this document and want to report it, please visit the \u003cCustomLink href='example.com'\u003ecopyright infringement notice page\u003c/CustomLink\u003e to submit a report.","error_category":"Select a category to submit your report","error_subtype":"Select a sub-category to submit your report","default_subtype":"Select an option...","category":{"illegal":{"label":"Illegal","description":"Content that breaks the law or promotes illegal activities.","subtypes":{"child_exploitation":"Minor Exploitation","child_sexual_abuse_material":"Child Sexual Abuse","dangerous_products_or_services":"Dangerous Products/Services","non_violent_crime":"Non-Violent Offenses","sex_related_crime":"Sexual Offenses","violent_crime":"Violent Offenses","terrorism":"Terrorism","general":"Other"}},"explicit":{"label":"Explicit","description":"Content that is graphic, offensive, or disturbing.","subtypes":{"sexually_explicit_content":"Sexually Explicit","shocking_content":"Shocking or Disturbing","general":"Other"}},"dangerous_and_deragatory":{"label":"Dangerous \u0026 Derogatory","description":"Content that encourages harmful, threatening, or hateful behavior.","subtypes":{"menacing":"Threatening Behavior","incitement":"Inciting Violence or Hatred","self_harm":"Self-harm","general":"Other"}},"deceptive_and_fraudulent":{"label":"Deceptive \u0026 Fraudulent","description":"Content that intentionally misleads, deceives, or engages in fraudulent activities.","subtypes":{"academic_integrity":"Academic Dishonesty","misinformation":"Spreading False Information","general":"Other"}},"guideline_ignoring":{"label":"Intellectual Property","description":"Content that uses someone else's protected work without permission.","subtypes":{"ip_infringement_copyright":"IP infringement (copyright)","ip_infringement_non_copyright":"IP infringement (non-copyright)","general":"Other"}},"privacy":{"label":"Privacy","description":"Content that misuses personal information.","subtypes":{"privacy_general":"General Privacy","privacy_child":"Child Privacy"}},"spam":{"label":"Spam","description":"Unsolicited and repetitive content that disrupts your experience."},"low_quality":{"label":"Low Quality","description":"Content that fails to meet minimum standards for clarity, relevance, or completeness."}}}},"share_modal":{"close":"Close","embed_title":"Embed","embed_size":"Size (px)","link":"Link","start":"Start on","title":"Share this SlideShare","share_facebook":"Share on Facebook","share_linkedin":"Share on Linkedin","share_twitter":"Tweet on Twitter"},"next_slideshow":{}}},"initialLocale":"en","ns":["common","player","metadata","report","share_modal","next_slideshow"],"userConfig":null},"_sentryTraceData":"0cca2f37e64449bd89e922e1d2768c7d-90551eafc90cd1fc-1","_sentryBaggage":"sentry-environment=production,sentry-public_key=7e7cafa2920448ed81ac67de08abbeed,sentry-trace_id=0cca2f37e64449bd89e922e1d2768c7d,sentry-sample_rate=1,sentry-transaction=%2Fslideshow%2F%5Btitle%5D%2F%5Bid%5D,sentry-sampled=true"},"__N_SSP":true},"page":"/slideshow/[title]/[id]","query":{"title":"powerplanning","id":"16883536"},"buildId":"b030862495cc07c0e7871314a377e645db7d1165","assetPrefix":"https://public.slidesharecdn.com","runtimeConfig":{"assetPrefix":"https://public.slidesharecdn.com"},"isFallback":false,"isExperimentalCompile":false,"gssp":true,"locale":"en","locales":["en","de","es","pt","fr"],"defaultLocale":"en","domainLocales":[{"domain":"www.slideshare.net","defaultLocale":"en"},{"domain":"de.slideshare.net","defaultLocale":"de"},{"domain":"es.slideshare.net","defaultLocale":"es"},{"domain":"pt.slideshare.net","defaultLocale":"pt"},{"domain":"fr.slideshare.net","defaultLocale":"fr"}],"scriptLoader":[]}</script></body></html>